merge
3
.gitignore
vendored
@ -3,3 +3,6 @@ dist
|
|||||||
node_modules
|
node_modules
|
||||||
.vscode-test/
|
.vscode-test/
|
||||||
*.vsix
|
*.vsix
|
||||||
|
parser_stuck.v
|
||||||
|
**/*.wasm
|
||||||
|
out-js/
|
@ -1,14 +1,16 @@
|
|||||||
.vscode/**
|
.vscode/**
|
||||||
.vscode-test/**
|
|
||||||
test/**
|
|
||||||
.gitignore
|
.gitignore
|
||||||
.yarnrc
|
**/.gitignore
|
||||||
vsc-extension-quickstart.md
|
.git
|
||||||
**/jsconfig.json
|
|
||||||
**/*.map
|
**/*.map
|
||||||
**/.eslintrc.json
|
.eslintrc.json
|
||||||
dist/**/*.map
|
dist
|
||||||
webpack.config.js
|
webpack.config.js
|
||||||
node_modules
|
node_modules
|
||||||
src/**
|
out-js
|
||||||
vsixmake.js
|
src
|
||||||
|
script
|
||||||
|
resources/**/*.js
|
||||||
|
resources/**/*.d.ts
|
||||||
|
resources/**/*.wasm
|
||||||
|
tsconfig.json
|
109
CHANGELOG.md
@ -4,6 +4,111 @@ All notable changes to the "digital-ide" extension will be documented in this fi
|
|||||||
|
|
||||||
Check [Keep a Changelog](http://keepachangelog.com/) for recommendations on how to structure this file.
|
Check [Keep a Changelog](http://keepachangelog.com/) for recommendations on how to structure this file.
|
||||||
|
|
||||||
## [Unreleased]
|
## [0.3.2] - 2023-11-01
|
||||||
|
|
||||||
- Initial release
|
Bug 修复
|
||||||
|
- 修复文档化input, output处注释无法正常显示到文档的 bug
|
||||||
|
- 修复 iverilog 仿真功能中,将重复的路径作为编译参数编译的 bug
|
||||||
|
- 修复 iverilog 仿真功能中,将 `include 加入或去除后,无法通过仿真编译的 bug (没有更新 instance 的 instModPathStatus 属性)
|
||||||
|
|
||||||
|
Feat
|
||||||
|
- 增加对 XDC,TCL 等脚本的 LSP 支持
|
||||||
|
- 增加 verilog, vhdl, xdc, tcl 等语言的图标
|
||||||
|
- 增加对于 vivado 的支持,用户可以通过添加 vivado 路径的方式来使用 vivado 的仿真和自动纠错
|
||||||
|
|
||||||
|
|
||||||
|
## [0.1.23] - 2022-12-24
|
||||||
|
- Finish the css of documentation, see `./css/documentation.css` for detail.
|
||||||
|
|
||||||
|
## [0.1.23] - 2022-12-23
|
||||||
|
- Finish the function of documentation, webview display
|
||||||
|
- Finish the function of documentation, support export markdown and html
|
||||||
|
|
||||||
|
## [0.1.23] - 2022-12-22
|
||||||
|
- Rename partial tokens of verilog, make highlighting more colorful
|
||||||
|
|
||||||
|
## [0.1.23] - 2022-12-05
|
||||||
|
- Tree View can display the module that has not solved the dependence
|
||||||
|
- Finish the function of Instance and add icon for each solved module
|
||||||
|
|
||||||
|
## [0.1.23] - 2022-12-02
|
||||||
|
- Add unit test for most of logic
|
||||||
|
|
||||||
|
## [0.1.23] - 2022-12-01
|
||||||
|
- Finish reconstruction of HDLparam
|
||||||
|
- Finish the implementation of tree view
|
||||||
|
|
||||||
|
## [0.1.22] - 2022-01-20
|
||||||
|
|
||||||
|
- Fix lib files do not display in tree view
|
||||||
|
|
||||||
|
## [0.1.21] - 2022-01-20
|
||||||
|
|
||||||
|
- Fix issue [#26](https://github.com/Bestduan/Digital-IDE/issues/26)
|
||||||
|
- Rename as Digital-IDE
|
||||||
|
- Fix generate property.json file
|
||||||
|
|
||||||
|
## [0.1.20] - 2022-01-12
|
||||||
|
|
||||||
|
- Fix issue [#32](https://github.com/Bestduan/Digital-IDE/issues/32)
|
||||||
|
|
||||||
|
## [0.1.18] - 2021-09-12
|
||||||
|
|
||||||
|
- delete generate tb file
|
||||||
|
- add function netlist show
|
||||||
|
- Fix issue [#25](https://github.com/Bestduan/fpga_support_plug/issues/25)
|
||||||
|
- Fix issue [#24](https://github.com/Bestduan/fpga_support_plug/issues/24)
|
||||||
|
|
||||||
|
## [0.1.17] - 2021-09-04
|
||||||
|
|
||||||
|
- Fix issue [#22](https://github.com/Bestduan/fpga_support_plug/issues/22)
|
||||||
|
- Fix issue [#21](https://github.com/Bestduan/fpga_support_plug/issues/21)
|
||||||
|
- Fix issue [#20](https://github.com/Bestduan/fpga_support_plug/issues/20)
|
||||||
|
|
||||||
|
|
||||||
|
## [0.1.16] - 2021-07-26
|
||||||
|
|
||||||
|
- Optimization of the kernel, fix High CPU usage
|
||||||
|
- Fix some other known bugs
|
||||||
|
- Add Formatter function
|
||||||
|
|
||||||
|
## [0.1.15] - 2021-05-02
|
||||||
|
|
||||||
|
- Fix some bugs and add instructions
|
||||||
|
|
||||||
|
## [0.1.12] - 2021-04-28
|
||||||
|
|
||||||
|
- Added simulation function, automatically pop up error message
|
||||||
|
|
||||||
|
## [0.1.10] - 2020-04-16
|
||||||
|
|
||||||
|
- Added simulation function, automatically pop up error message
|
||||||
|
|
||||||
|
## [0.1.8] - 2020-03-30
|
||||||
|
|
||||||
|
- Fixed the problem of repeatedly opening a new project and supported adding devices directly from the Makefile
|
||||||
|
|
||||||
|
## [0.1.6] - 2020-03-19
|
||||||
|
|
||||||
|
- Add support for IP design and bd design
|
||||||
|
- Add module jump (`Alt + F12` or `F12`)
|
||||||
|
- Change the startup shortcut key
|
||||||
|
- Fix some bugs to enhance robustness
|
||||||
|
|
||||||
|
## [0.1.4] - 2020-03-10
|
||||||
|
|
||||||
|
- Address the BUG existing in 0.1.3
|
||||||
|
|
||||||
|
## [0.1.2] - 2020-03-03
|
||||||
|
|
||||||
|
- Add Xilinx IP of Soc's cortexM3
|
||||||
|
- Provide an example for `m3_for_xilinx.bd`
|
||||||
|
- Resolve the file structure conversion problem
|
||||||
|
|
||||||
|
## [0.0.2] - 2020-02-28
|
||||||
|
|
||||||
|
- Added testbench / instance function
|
||||||
|
|
||||||
|
## [0.0.1] - 2020-02-15
|
||||||
|
|
||||||
|
- Initial Release
|
663
README.md
@ -1,71 +1,628 @@
|
|||||||
# digital-ide README
|
#! https://zhuanlan.zhihu.com/p/365805011
|
||||||
|
# Digital IDE - version 0.3.0
|
||||||
|
|
||||||
This is the README for your extension "digital-ide". After writing up a brief description, we recommend including the following sections.
|

|
||||||
|

|
||||||
|

|
||||||
|

|
||||||
|

|
||||||
|
|
||||||
## Features
|
[Document](https://digital-eda.github.io/DIDE-doc-En) | [中文文档](https://digital-eda.github.io/DIDE-doc-Cn)
|
||||||
|
|
||||||
Describe specific features of your extension including screenshots of your extension in action. Image paths are relative to this README file.
|
|
||||||
|
|
||||||
For example if there is an image subfolder under your extension project workspace:
|
## Preface
|
||||||
|
|
||||||
\!\[feature X\]\(images/feature-x.png\)
|
- Installation address [Installation address](https://marketplace.visualstudio.com/items?itemName=sterben.fpga-support)
|
||||||
|
- If you have any questions, please leave a message on the [issues](https://github.com/Bestduan/Digital-IDE/issues)
|
||||||
|
- If you like it, click on the [star](https://github.com/Bestduan/Digital-IDE)
|
||||||
|
- email: sterben.nitcloud@gmail.com | zhelonghuang@mail.ustc.edu.cn
|
||||||
|
|
||||||
> Tip: Many popular extensions utilize animations. This is an excellent way to show off your extension! We recommend short, focused animations that are easy to follow.
|
- QQ group No.: 932987873
|
||||||
|
|
||||||
## Requirements
|
Any problems you have met during the use, you can contact us in QQ group, and we will reply when I see it.
|
||||||
|
|
||||||
If you have any requirements or dependencies, add a section describing those and how to install and configure them.
|
|
||||||
|
|
||||||
## Extension Settings
|
|
||||||
|
|
||||||
Include if your extension adds any VS Code settings through the `contributes.configuration` extension point.
|
|
||||||
|
|
||||||
For example:
|
|
||||||
|
|
||||||
This extension contributes the following settings:
|
|
||||||
|
|
||||||
* `myExtension.enable`: Enable/disable this extension.
|
|
||||||
* `myExtension.thing`: Set to `blah` to do something.
|
|
||||||
|
|
||||||
## Known Issues
|
|
||||||
|
|
||||||
Calling out known issues can help limit users opening duplicate issues against your extension.
|
|
||||||
|
|
||||||
## Release Notes
|
|
||||||
|
|
||||||
Users appreciate release notes as you update your extension.
|
|
||||||
|
|
||||||
### 1.0.0
|
|
||||||
|
|
||||||
Initial release of ...
|
|
||||||
|
|
||||||
### 1.0.1
|
|
||||||
|
|
||||||
Fixed issue #.
|
|
||||||
|
|
||||||
### 1.1.0
|
|
||||||
|
|
||||||
Added features X, Y, and Z.
|
|
||||||
|
|
||||||
---
|
---
|
||||||
|
|
||||||
## Following extension guidelines
|
## About Feedback
|
||||||
|
|
||||||
Ensure that you've read through the extensions guidelines and follow the best practices for creating your extension.
|
First of all, thank you for your use and feedback. Any better ideas about this plugin can be published under both Zhihu and github, if it is the use of the problem please move to [github](https://github.com/Bestduan/Digital-IDE/issues) instead of Zhihu. Thank you for your cooperation.
|
||||||
|
|
||||||
* [Extension Guidelines](https://code.visualstudio.com/api/references/extension-guidelines)
|
In addition, when posting an issue, please provide a detailed description of the problem you are experiencing, focusing on the following sections
|
||||||
|
- Operating environment
|
||||||
|
- Version used
|
||||||
|
- Error message (source: vscode itself and Toggle Developer Tool)
|
||||||
|
- The specific problem and the reason for it
|
||||||
|
- Please paste the source code if it is a special case (to better reproduce the problem)
|
||||||
|
|
||||||
## Working with Markdown
|
- Please show as many screenshots as possible
|
||||||
|
|
||||||
You can author your README using Visual Studio Code. Here are some useful editor keyboard shortcuts:
|
---
|
||||||
|
|
||||||
* Split the editor (`Cmd+\` on macOS or `Ctrl+\` on Windows and Linux).
|
## TODO LIST
|
||||||
* Toggle preview (`Shift+Cmd+V` on macOS or `Shift+Ctrl+V` on Windows and Linux).
|
|
||||||
* Press `Ctrl+Space` (Windows, Linux, macOS) to see a list of Markdown snippets.
|
|
||||||
|
|
||||||
## For more information
|
- [ ] Embedded VCD waveform display
|
||||||
|
- [ ] Optimized Yosys integrated interface
|
||||||
|
- [ ] More friendly finite state machine interface
|
||||||
|
- [ ] More comprehensive syntax checking
|
||||||
|
- [ ] Port checking
|
||||||
|
|
||||||
* [Visual Studio Code's Markdown Support](http://code.visualstudio.com/docs/languages/markdown)
|
- [ ] Embedded cross-platform iverilog simulator
|
||||||
* [Markdown Syntax Reference](https://help.github.com/articles/markdown-basics/)
|
|
||||||
|
|
||||||
**Enjoy!**
|
---
|
||||||
|
|
||||||
|
# Get Started Quickly
|
||||||
|
|
||||||
|
Search for “Digital IDE” in the Vscode plugin store and click download.
|
||||||
|
|
||||||
|
> Note: The plug-in itself has been optimized to a size of 11MB. The plugin is download-to-use, and no environment is required unless other third-party tools (such as: vivado, iverilog, etc.) are needed, which need to be installed by yourself.
|
||||||
|
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/17/x957j0Ml.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
|
||||||
|
## Project Configuration
|
||||||
|
This plugin defines the project configuration file as `property.json`, which is only placed in the `.vscode` folder.
|
||||||
|
|
||||||
|
### Project Configuration File Generation
|
||||||
|
Use * TOOL: generate property file * to generate the initial ` property. json ` template file. The generated file will be placed directly in the .vscode folder.
|
||||||
|
If you have your own template, you can customize the template file using * TOOL: Overwrite the InitPropertyParam *.
|
||||||
|
|
||||||
|
> After version 0.3.0, the plugin will automatically ask users whether to create property.json every time it starts.
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/18/8rFSLSfY.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
###Description Of the Project Configuration File
|
||||||
|
> New configuration properties will be used after version 0.3.0
|
||||||
|
```json
|
||||||
|
// porperty.json All attributes explained
|
||||||
|
{
|
||||||
|
// Third-party tool chains currently in use
|
||||||
|
"toolChain": "xilinx",
|
||||||
|
|
||||||
|
// Project naming
|
||||||
|
// PL : Programming logic design part is FPGA before
|
||||||
|
// PL : Processing system design part is the previous SOC
|
||||||
|
"prjName": {
|
||||||
|
"PL": "template",
|
||||||
|
"PS": "template"
|
||||||
|
},
|
||||||
|
|
||||||
|
// Custom project structure, without this attribute it is considered as a standard file structure (see below for details)
|
||||||
|
// Project path, hardware and software design path
|
||||||
|
// All properties support ${workspace}, ${plname}, ${psname}, relative paths
|
||||||
|
// ${workspace} : path to the current workspace
|
||||||
|
// ${plname}、${psname} :the name of the PL or PS project
|
||||||
|
"arch" : {
|
||||||
|
"prjPath": "",
|
||||||
|
"hardware" : {
|
||||||
|
"src" : "", // Place the design source file, note: src is one level below IP&bd
|
||||||
|
"sim" : "", // Place the simulation file, which will be directly reflected in the tree structure
|
||||||
|
"data" : "" // Place constraints and data files, constraints will be automatically added to the vivado project
|
||||||
|
},
|
||||||
|
"software" : {
|
||||||
|
"src" : "",
|
||||||
|
"data" : ""
|
||||||
|
}
|
||||||
|
},
|
||||||
|
|
||||||
|
// Code library management, support for remote and local two kinds of call (see the following library management for details)
|
||||||
|
// Use UI to configure, not recommended for users to change directly
|
||||||
|
"library" : {
|
||||||
|
"state": "", // local | remote
|
||||||
|
"hardware" : {
|
||||||
|
"common": [], // Common libraries provided by the plugin
|
||||||
|
"custom": [] // User's own design library
|
||||||
|
}
|
||||||
|
},
|
||||||
|
|
||||||
|
// Xilinx IP repository can be add directly to the IP repo of vivado
|
||||||
|
// Only IP repositories of ADI and ARM are supported currently(adi | arm)
|
||||||
|
"IP_REPO" : [],
|
||||||
|
|
||||||
|
// When the design uses PL + PS that is SOC development
|
||||||
|
// Mixed development when the core is not none
|
||||||
|
"soc": {
|
||||||
|
"core": "none",
|
||||||
|
"bd": "",
|
||||||
|
"os": "",
|
||||||
|
"app": ""
|
||||||
|
},
|
||||||
|
|
||||||
|
// Whether the information is output at the terminal when the project is realized synthetically
|
||||||
|
"enableShowLog": false,
|
||||||
|
|
||||||
|
// 设备类型 可以是如下几种:
|
||||||
|
// "none",
|
||||||
|
// "xc7z020clg400-2",
|
||||||
|
// "xc7a35tftg256-1",
|
||||||
|
// "xc7a35tcsg324-1",
|
||||||
|
// "xc7z035ffg676-2",
|
||||||
|
// "xc7z020clg484-1"
|
||||||
|
"device": "none"
|
||||||
|
}
|
||||||
|
```
|
||||||
|
|
||||||
|
One of the most important attributes is the `ARCH` attribute, which is considered a user-defined project structure when configured. For user-defined structures, all file changes are managed by the user. When the `ARCH` attribute is not configured, it is considered to use the standard file structure recommended by the plugin. The description of the standard file structure is as follows.
|
||||||
|
```
|
||||||
|
.vscode
|
||||||
|
└── property.json -- Project configuration file user-defined (or stored in the root of the workspace)
|
||||||
|
prj -- Store project files
|
||||||
|
├── simulation -- Store intermediate files for third-party simulation tool runtime
|
||||||
|
├── intel -- Store intel project files
|
||||||
|
└── xilinx -- Store xilinx project files
|
||||||
|
user -- Store user-designed source files which are user-defined
|
||||||
|
├── ip -- Store project ip code (managed by vendor tools, but moved to the same level of src by the plugin)
|
||||||
|
├── bd -- Store the source code of project block designer(managed by vendor tools, but moved to the same level of src by the plugin)
|
||||||
|
├── data -- mainly for data files and constraint files
|
||||||
|
├── sim -- Store user's simulation code
|
||||||
|
└── src -- Store user's design source code
|
||||||
|
└─ lib -- Store user's hardware library source code
|
||||||
|
```
|
||||||
|
|
||||||
|
When the `SOC.core` in the `property.json` file is not set to "none" and the configuration file is saved, the file structure will be automatically changed to a hybrid PS+PL design structure. Under this structure the user folder will change to the following structure:
|
||||||
|
```
|
||||||
|
user -- Store user-designed source files, user-defined
|
||||||
|
Hardware -- mainly for hardware logic design
|
||||||
|
├── ip -- Store project ip code (managed by vendor tools, but moved by the plugin to the same level directory as src)
|
||||||
|
├── bd -- Store project block designer source code (managed by vendor tools, but moved to src sibling directory by plugins)
|
||||||
|
├── data -- mainly for data files and constraint files
|
||||||
|
├── sim -- Store user's simulation code
|
||||||
|
└── src -- Store user's design source code
|
||||||
|
└─ lib -- Store user's hardware library source code
|
||||||
|
Software -- Store software-driven designs
|
||||||
|
├── data -- mainly for data files and constraint files
|
||||||
|
└── src -- Store user's project source code
|
||||||
|
```
|
||||||
|
`[Note]`: When the value of `SOC.core` is changed from non-none to none, the Software folder is not needed by default and will be deleted (the plugin will also give a prompt accordingly), so please make a backup. Also, *IP and bd design will be placed to the directory above src, so it is better not to set src as the root path of the workspace*.
|
||||||
|
|
||||||
|
In addition, if the path configured by the user under ARCH is wrong or invalid, the plugin will directly change to the structure path under standard. *When the user does not configure the `property.json` file, the file structure will default to the path of the workspace, and this behavior may cause a lot of performance consumption, please pay attention to it*.
|
||||||
|
|
||||||
|
---
|
||||||
|
|
||||||
|
# Function Introduction
|
||||||
|
|
||||||
|
## Language Services
|
||||||
|
Provide the basic language services required for front-end code design
|
||||||
|
|
||||||
|
|
||||||
|
### Language Highlight
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://picx.zhimg.com/80/v2-0b3740ecd3e9fd2d77e73595c20a7c5a_1440w.png" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
The following languages are now supported for highlighting
|
||||||
|
1. HDL
|
||||||
|
- verilog
|
||||||
|
- systemverilog
|
||||||
|
- VHDL
|
||||||
|
2. TCL
|
||||||
|
- xdc
|
||||||
|
- sdc
|
||||||
|
- fdc (including xdc、sdc、fdc)
|
||||||
|
|
||||||
|
### Syntax Diagnosis
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://pic1.zhimg.com/80/v2-32e005d53e646275b5cb7ec19b308c55_1440w.png" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
|
||||||
|
After version 0.3.0, the plugin will support a built-in syntax diagnostic tool that does not require downloading any third-party tools. The supported syntax includes:
|
||||||
|
- verilog
|
||||||
|
- vhdl (bugs remain)
|
||||||
|
- systemverilog (developing)
|
||||||
|
|
||||||
|
### Outline
|
||||||
|
|
||||||
|
The outline of the current HDL code can be seen on the left side of the workspace to quickly locate the module or variable you need to see.
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://picx.zhimg.com/80/v2-1a7702db958deed33dfd9d218efc241f_1440w.png" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
### Hover Tips
|
||||||
|
|
||||||
|
When you move the mouse over a variable, macro, example module, etc. that you want to view, the declaration definition of the current variable is displayed.
|
||||||
|
|
||||||
|
> If it is a module, information such as the number of ports of each type for the module is also displayed.
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://pic1.zhimg.com/80/v2-3548c2344be35b502ec46d8a6c0a6165_1440w.png" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
The prompts are as follows:
|
||||||
|
1. `mark corresponding comment` + `mark corresponding content`
|
||||||
|
2. binary, hexadecimal -> decimal
|
||||||
|
|
||||||
|
where contents of the comment corresponding to the marker are
|
||||||
|
1. line comments after the line where the marker is defined
|
||||||
|
2. line comments and block comments (stopping when a non-commented part is encountered) before the marker is defined
|
||||||
|
|
||||||
|
> Hover tips use the built-in vlog and vhdl parser, which currently only support simple hover tips
|
||||||
|
|
||||||
|
### Auto-complete
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/17/fMILBDYG.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/17/WoRjxLY5.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
|
||||||
|
The auto-completion provided by the plugin is divided into two parts:
|
||||||
|
1. snippet file provided by the auto-complete, support for user-added
|
||||||
|
2. keyword triggered auto-completion
|
||||||
|
1. `. `. Keyword triggers the completion of the port or parameter name of the exemplified module.
|
||||||
|
2. `\`` Keyword triggers the completion of macro definition identifiers.
|
||||||
|
3. `/` key triggers path completion in include.
|
||||||
|
|
||||||
|
> Currently, auto-completion is only supported in verilog and systemverilog for port parameter routines.
|
||||||
|
|
||||||
|
A description of the parameters that can be set for auto-completion:
|
||||||
|
|
||||||
|
1. `function.lsp.completion.vlog.autoAddInclude`
|
||||||
|
- Whether or not to automatically add an include to the beginning of a file when instantiating a module, default is true.
|
||||||
|
2. `function.lsp.completion.vlog.completeWholeInstante`
|
||||||
|
- Whether or not to complete all parameters and ports needed for the whole instantiation, default is true.
|
||||||
|
3. `function.instantiation.addComment`
|
||||||
|
- Whether to add some comments after the instantiation, default is true.
|
||||||
|
4. `function.instantiation.autoNetOutputDeclaration`
|
||||||
|
- Whether to automatically complete the definition of all output ports after instantiation, default is true.
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
### Definition Jumps
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/17/hFmH616H.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
If the hover tip support is valid, then it can support the definition jump.
|
||||||
|
However, there are some times when the definition jump cannot be done because the interpreter does not interpret the code correctly, so you can set `linter` to `default` and use the interpreter to check the correctness of the code syntax.
|
||||||
|
|
||||||
|
### Auto-formatting
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/17/XdCMnPLe.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
|
||||||
|
You can format the document with selected characters or full text. Vscode comes with shortcuts to open:`shift + alt + f`. Related setting description:
|
||||||
|
- verilog and systemverilog
|
||||||
|
1. `function.lsp.formatter.vlog.default.style`
|
||||||
|
- verilog and systemverilog formatting types, supporting three types `kr`, `ansi`, `gun`
|
||||||
|
2. `function.lsp.formatter.vlog.default.args`
|
||||||
|
- Other parameter inputs and vlog formatting use istyle's webassembly, so please refer to istyle for the parameters to be entered.
|
||||||
|
> This function is based on istyle to achieve, so the full-text formatting is still not perfect, it is recommended to check the always statement block to format, and later will continue to fix related problems.
|
||||||
|
|
||||||
|
- vhdl
|
||||||
|
1. `function.lsp.formatter.vhdl.default.align-comments`
|
||||||
|
- whether need to align comments
|
||||||
|
2. `function.lsp.formatter.vhdl.default.indentation`
|
||||||
|
- the number of spaces corresponding to the tab
|
||||||
|
|
||||||
|
### Vhdl to Verilog Translation
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/17/bkUPND9X.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
Currently only vhdl to Verilog translation is supported.
|
||||||
|
If there is no output, it means that the syntax of vhdl is wrong, or there is a syntax that the plugin cannot parse.
|
||||||
|
|
||||||
|
---
|
||||||
|
## Project Management
|
||||||
|
Project management mainly needs to realize the following operations:
|
||||||
|
1. Project construction
|
||||||
|
1. project Manager (PS & PL)
|
||||||
|
2. lib Manager (IP & bd)
|
||||||
|
2. Simulation construction
|
||||||
|
1. generate instance & tb file
|
||||||
|
2. fast simulate
|
||||||
|
3. Design support
|
||||||
|
1. [x]tree Structure
|
||||||
|
2. [x]netlist preview
|
||||||
|
3. [x]Code to doc
|
||||||
|
4. [x]fsm preview
|
||||||
|
5. []fsm designer
|
||||||
|
6. []waveform preview
|
||||||
|
|
||||||
|
### Project Building
|
||||||
|
The purpose of project building is to help users quickly build their own third-party projects, especially `project Manager` is related to third-party tool chain. Currently, the only compatible third-party tool is xilinx's vivado (other third-parties will continue to be supported in the future). However, `lib Manager` is to avoid repeatedly build a wheel and provide a function to facilitate the user to use some common HDL libraries provided by plugin, but also support the user to accumulate their own library.
|
||||||
|
|
||||||
|
#### Project Manager
|
||||||
|
Main purposes of the `project manager` are as follows:
|
||||||
|
1. abstract out the function to reduce the learning cost of other three-party tools
|
||||||
|
2. erase version differences, allowing more focus on the source code design
|
||||||
|
- Because as long as you have the configuration file and design source, you can restore the project under any vivado version.
|
||||||
|
|
||||||
|
`[Note]`: `project Manager` is strongly dependent on the property configuration file `property.json`, if missing, it will directly use the default (template) configuration.
|
||||||
|
|
||||||
|
For project management on the PL side, I have abstracted the following functions:
|
||||||
|
1. launch ------ to start the whole project, or create it if there is no project, or open it directly if there is
|
||||||
|
2. refresh ----- to refresh the whole project and update the design of the whole project
|
||||||
|
3. simulate ---- to simulate the whole project, without opening the GUI interface by default *`(using the simulator in TOOL_CHAIN)*
|
||||||
|
1. simGUI ----- open the GUI interface after successful simulation
|
||||||
|
2. simCLI ----- does not open the GUI interface after successful simulation
|
||||||
|
4. build ------- to build the whole project and finally output the bit stream file
|
||||||
|
1. synth ------ to synthesize the project
|
||||||
|
2. impl ------- to implement the project
|
||||||
|
3. bit -------- to export the project's bitstream file
|
||||||
|
5. program ----- download the bitstream file to the FPGA/zynq board *`(download and burn, but not solidify)`*
|
||||||
|
6. gui --------- open the GUI interface of the tool chain
|
||||||
|
1. After opening the GUI, the terminal named *`HardWare`* is not recommended to close by itself.
|
||||||
|
- The whole GUI interface will be closed automatically after direct closure, and if not saved then the design may be lost.
|
||||||
|
- The plugin will not move your `IP and bd design` to the same level of `Hardware/src/` after closing directly.
|
||||||
|
7. exit -------- Closing the project is only valid under the CLI, after opening the GUI, terminal control is taken over by the GUI.
|
||||||
|
1. After clicking `exit` the plugin will move your `IP and bd design` to the same level of `Hardware/src/`.
|
||||||
|
2. If you close the terminal named *`HardWare`* directly, the move of `IP and bd designs` will not take place.
|
||||||
|
3. Note: You can also move your `IP and bd designs` to the same level of `Hardware/src/` when *Clean* is in the function bar *TOOL*.
|
||||||
|
|
||||||
|
In addition to the above explicit functions, there are two implicit functions each in the `architecture` column, which are
|
||||||
|
1. `Set as Top` -------------- sets this file as the top-level design module of the current project
|
||||||
|
2. `Set as Testbench Top` ---- sets the file as the top-level module of the simulation for the current project
|
||||||
|
|
||||||
|
Specially, *`Zynq`* devices support mixed PS+PL development. To cope with the mixed development, the plugin gives the `soc` configuration as follows:
|
||||||
|
```json
|
||||||
|
"soc": {
|
||||||
|
"core": "ps7_cortexa9_0",
|
||||||
|
"bd" : "zynq_default"
|
||||||
|
}
|
||||||
|
```
|
||||||
|
Using the configuration plugin as above will automatically build a bd project containing the zynq design to help users quickly build the platform.
|
||||||
|
|
||||||
|
Finally, about device selection, it can be configured in the `property.json` file under the *device* property.
|
||||||
|
The following are currently available:
|
||||||
|
- xc7z020clg400-2
|
||||||
|
- xc7a35tftg256-1
|
||||||
|
- xc7a35tcsg324-1
|
||||||
|
- xc7z035ffg676-2
|
||||||
|
- xc7z020clg484-1
|
||||||
|
|
||||||
|
But the supported devices are not limited to these, theoretically all the devices that vivado can support can be supported. You can write your device directly to the *Device* attribute, which will give you a warning if the device is not in the database, but will not prevent you from running. To remove the warning you need to add your device to the database with the *FPGA:Add devices to the database* command. Unneeded devices can also be removed from the database with *FPGA:Remove the device from the database*.
|
||||||
|
|
||||||
|
**Related setting**
|
||||||
|
`prj.vivado.install.path` --- Installation path of vivado
|
||||||
|
When vivado is installed, you can configure the installation path of vivado directly inside the plugin, or you can add vivado to the environment variables (recommended). If the path is not found by mistake, it is already added to the environment variables by default.
|
||||||
|
*e.g. : D:/APP/vivado_18_3/Vivado/2018.3/bin/*
|
||||||
|
`[Note]`: Use `/` to separate the paths and configure them to the bin directory.
|
||||||
|
|
||||||
|
`prj.xilinx.IP.repo.path` ---- User-designed IP libraries from xilinx
|
||||||
|
After configuring this property, the plugin will automatically add the path to the IP repo of vivado.
|
||||||
|
*e.g. : D:/project/FPGA/.Lib/xIP*
|
||||||
|
|
||||||
|
`prj.xilinx.BD.repo.path` ---- User-defined placement path for xilinx block design files
|
||||||
|
*e.g. : D:/project/FPGA/.Lib/xbd*
|
||||||
|
|
||||||
|
|
||||||
|
#### lib Manager
|
||||||
|
The plugin comes with HDL function library linking function.
|
||||||
|
The `property.json` file is configured as follows:
|
||||||
|
```json
|
||||||
|
"library" : {
|
||||||
|
"state": "", // local | remote(default)
|
||||||
|
"hardware" : {
|
||||||
|
"common": [],
|
||||||
|
"custom": []
|
||||||
|
}
|
||||||
|
},
|
||||||
|
|
||||||
|
"IP_REPO": [
|
||||||
|
"arm", // including ip CM3DbgAXI & DAPLink_to_Arty_shield
|
||||||
|
"adi" // containing all device ip's under the adi company, with the included absolute paths removed Taken from adi2019_r1
|
||||||
|
],
|
||||||
|
```
|
||||||
|
|
||||||
|
It is not recommended that users configure the library properties in the `property.json` file by themselves. It is recommended to use the *import library* command, or the icon activation command in the following figure to do so.
|
||||||
|
|
||||||
|
The *state* represents whether the library file is loaded into the local workspace, or linked as a remote.
|
||||||
|
- `remote` represents virtual inclusion from a remote (anything not under the workspace is considered remote, not remote on the network).
|
||||||
|
- remote library files can be opened and changed *(`Note: `If the next import after the change is the code after the change)* .
|
||||||
|
- `local` means import the remote file into the project locally
|
||||||
|
1. placed in the lib under `arch.hardware.src`, the changes will not affect the code in the remote library.
|
||||||
|
2. *`[Note]`: When changing from local back to remote the lib folder will be deleted (plugin will remind), please note*.
|
||||||
|
|
||||||
|
The property *common* represents the HDL function library that comes with the plugin, *the code of this library is less mature and is for reference only*.
|
||||||
|
The lib paths that have been simulated and tested so far are as follows
|
||||||
|
- Soc
|
||||||
|
- Math/Cordic.v
|
||||||
|
- Math/Sort3.v
|
||||||
|
- Math/Sqrt.v
|
||||||
|
- Malloc/RAM/Shift_RAM
|
||||||
|
- Apply/DSP/Advance/Communicate/Modulate
|
||||||
|
- Apply/DSP/Base/DDS
|
||||||
|
- Apply/Image (need to include Sort3, Sqrt, Shift_RAM)
|
||||||
|
|
||||||
|
`[Note]`: When the input is a folder then it contains all the files under that folder. In addition, it is not recommended to change the code in this library directly, otherwise it will be overwritten again after the next plugin update, please be careful.
|
||||||
|
|
||||||
|
The property *custom* represents a user-defined HDL function library.
|
||||||
|
The use of this property requires the root directory of the user-defined library to be configured for *prj.lib.custom.path* under *setting*, and the absolute path of the file (folder) with the configuration under the *custom* property. The representation is as follows:
|
||||||
|
*`prj.lib.custom.path`*`/`*`${custom}`*
|
||||||
|
|
||||||
|
`[Note]`: When the input is a folder then it contains all the files under that folder.
|
||||||
|
|
||||||
|
Finally, for the `IP_REPO` property, this is the two official xilinx IP repo provided by the plugin to users, choose the one you want to configure, and the plugin will automatically add it to the IP repo of Vivado, which is convenient for users to develop directly without having to compile and import it by themselves.
|
||||||
|
|
||||||
|
### Simulation Building
|
||||||
|
The purpose of simulation building is to help users to build their own simulation framework quickly and get simulation results quickly.
|
||||||
|
|
||||||
|
#### generate instance & tb file
|
||||||
|
|
||||||
|
Although auto-completion can realize the automatic completion of the example, but it can not view the entire project all the available modules and select from them, so we provide automatic example of the function; In addition, we also provide automatic generation of the selected module testbench function.
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/18/bA4ybk5Z.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
The plugin supports cross instantiation between different languages, such as instantiating verilog and vhdl modules in a verilog file, or Verilog and vhdl modules in a vhdl file.
|
||||||
|
|
||||||
|
The steps are as follows:
|
||||||
|
1. Place the cursor where the text needs to be instantiated.
|
||||||
|
2. Start the command box by `F1`, type *Instance*, and select `TOOL:Instance`.
|
||||||
|
1. or use the shortcut `Alt + I`
|
||||||
|
2. or right-click on the module to be instantiated and select `Instance`
|
||||||
|
3. Enter the keyword of the module to be instantiated (the plugin will automatically match it).
|
||||||
|
4. Select the module you want to instantiate.
|
||||||
|
|
||||||
|
`[Note]`: When using shortcut keys, you need to check if there is a shortcut key conflict.
|
||||||
|
|
||||||
|
In addition to automatic instantiation, the plugin also provides a simulation template for verilog, which is used as follows:
|
||||||
|
1. Start the command box by `F1`, type *Testbench*, and select `TOOL:Testbench`.
|
||||||
|
1. or right-click under the file to be generated and instantiated and select `Testbench`.
|
||||||
|
2. Select the type of simulation file and the location where you want to store it, and replace it directly if it exists.
|
||||||
|
|
||||||
|
If you want to change the template of testbench, proceed as follows:
|
||||||
|
Use the shortcut `F1` to start the command box, then select TOOL:Overwrite the template of testbench to choose the type of simulation file you want to change. This will open the initialization file of the testbench file, what you need to do is saving the changes based on this. In addition, please keep the `//Instance` flag, which is used to identify the location to be instantiated.
|
||||||
|
|
||||||
|
The intelligent connection between the tb file and the instantiated module will be considered later.
|
||||||
|
|
||||||
|
#### Fast Simulate
|
||||||
|
The purpose of this feature is to enable fast simulation of a single module, or a small project consisting of several modules.
|
||||||
|
Currently the only supported simulation tool is iverilog, which will be continuously updated to add new support.
|
||||||
|
|
||||||
|
**Iverilog Fast Simulation**
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/18/7PS5Cp37.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
- If you want to use this feature, please download iverilog by yourself and add environment variables.
|
||||||
|
- VCD rendering is currently using wavetrace, a vscode plugin, the next version will introduce an embedded waveform renderer that we have developed, and it is completely free.
|
||||||
|
- In term of Multi-file simulation, we recommend not to write include, if you write include, please add the folder path of all included files in property.json, for example:
|
||||||
|
|
||||||
|
```json
|
||||||
|
{
|
||||||
|
...
|
||||||
|
"iverilogCompileOptions": {
|
||||||
|
"standard": "2012",
|
||||||
|
"includes": [
|
||||||
|
"${workspace}/src",
|
||||||
|
"${workspace}/src/Controller",
|
||||||
|
"${workspace}/src/DataPath"
|
||||||
|
]
|
||||||
|
},
|
||||||
|
...
|
||||||
|
}
|
||||||
|
```
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
### Design Assistance
|
||||||
|
|
||||||
|
#### Tree Structure
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/18/SePzL9X3.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
Display the project structure of the current workspace in terms of modules, show the containment and inclusion relationship between HDL files in terms of hierarchy, and click to open the corresponding file.
|
||||||
|
|
||||||
|
> Note: The treeView only displays the HDL files in the user-specified or default workspace folder in property.json, the modules in other files will not be displayed in the treeView.
|
||||||
|
|
||||||
|
#### netlist preview
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/18/pqpXQGEy.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
The plugin uses `yosys 0.21` kernel (open source yosysjs 0.5 version) to synthesize the specified project (can run on all platforms), display the synthesized network diagram and support `include` and multi-file projects.
|
||||||
|
|
||||||
|
How to use
|
||||||
|
1. Click the icon in the upper right corner to create the panel
|
||||||
|
2. Or select the module you want to display in the project structure, or right click in the file and select `show netlist`.
|
||||||
|
|
||||||
|
> The current version of the netlist front-end is not perfect, future versions will optimize the front-end UI.
|
||||||
|
|
||||||
|
#### Code to doc
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/18/yYKtAhsR.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
Auto-documentation currently only supports verilog and wavedrom visualization, and also supports the following three export formats:
|
||||||
|
|
||||||
|
- markdown
|
||||||
|
- html
|
||||||
|
- pdf
|
||||||
|
|
||||||
|
If you need to export pdf, please fill the startup path of your local Google Chrome or Edge browser into the parameter **markdown-pdf executable path**. As most pdf readers do not support color changing background, please export your pdf in light color theme:
|
||||||
|
|
||||||
|
> > In windows 11, the default startup path for Edge is `C:/Program Files (x86)/Microsoft/Edge/Application/msedge.exe`.
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/18/MhBJSygD.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
|
||||||
|
#### fsm preview
|
||||||
|
|
||||||
|
|
||||||
|
<br>
|
||||||
|
<div align=center>
|
||||||
|
<img src="https://img1.imgtp.com/2023/08/18/g8cmbIIv.gif" style="width: 90%;"/>
|
||||||
|
</div>
|
||||||
|
<br>
|
||||||
|
|
||||||
|
This feature visualizes the finite state machine in a project and allows you to click on the shapes in the diagram to jump around.
|
||||||
|
|
||||||
|
> The front-end is currently quite minimal and the front-end UI of the FSM feature will be optimized in the future.
|
||||||
|
|
||||||
|
---
|
||||||
|
|
||||||
|
# Developers (Github Account)
|
||||||
|
|
||||||
|
- Nitcloud: Product Optimization and Requirements Engineering.
|
||||||
|
- Kylin: HDL Syntax Parser and Wasm Construction.
|
||||||
|
|
||||||
|
- LSTM-Kirigaya: Digital-IDE kernel implementation and UI design.
|
||||||
|
|
||||||
|
|
||||||
|
---
|
||||||
|
|
||||||
|
# Acknowledgements
|
||||||
|
|
||||||
|
* [VHDL](https://github.com/puorc/awesome-vhdl)
|
||||||
|
* [yosys](http://www.clifford.at/yosys)
|
||||||
|
* [TerosHDL](https://github.com/TerosTechnology/vscode-terosHDL)
|
||||||
|
* [TCL Language Support](https://github.com/go2sh/tcl-language-support)
|
||||||
|
* [Verilog HDL/SystemVerilog](https://github.com/mshr-h/vscode-verilog-hdl-support)
|
||||||
|
* [SystemVerilog - Language Support](https://github.com/eirikpre/VSCode-SystemVerilog)
|
18
config/arm.configuration.json
Normal file
@ -0,0 +1,18 @@
|
|||||||
|
{
|
||||||
|
"comments": {
|
||||||
|
"lineComment": "@",
|
||||||
|
"blockComment": [ "/*", "*/" ]
|
||||||
|
},
|
||||||
|
"brackets": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"]
|
||||||
|
],
|
||||||
|
"autoClosingPairs": [
|
||||||
|
{ "open": "(", "close": ")" },
|
||||||
|
{ "open": "[", "close": "]" },
|
||||||
|
{ "open": "'", "close": "'", "notIn": ["string"] },
|
||||||
|
{ "open": "\"", "close": "\"", "notIn": ["string"] },
|
||||||
|
{ "open": "/*", "close": " */", "notIn": ["string"] }
|
||||||
|
]
|
||||||
|
}
|
29
config/bd.configuration.json
Normal file
@ -0,0 +1,29 @@
|
|||||||
|
{
|
||||||
|
"comments": {
|
||||||
|
"lineComment": "#"
|
||||||
|
},
|
||||||
|
"brackets": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"]
|
||||||
|
],
|
||||||
|
"autoClosingPairs": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["\"", "\""]
|
||||||
|
],
|
||||||
|
"surroundingPairs": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["\"", "\""]
|
||||||
|
],
|
||||||
|
"folding": {
|
||||||
|
"markers": {
|
||||||
|
"start": "{",
|
||||||
|
"end": "}"
|
||||||
|
}
|
||||||
|
},
|
||||||
|
"wordPattern": "(?:(?:[^\\s\\{\\$\\\"]+|(?:\\$(?:\\w+|\\{[^\\}]+\\})))+)"
|
||||||
|
}
|
24
config/link.configuration.json
Normal file
@ -0,0 +1,24 @@
|
|||||||
|
{
|
||||||
|
"comments": {
|
||||||
|
"blockComment": [ "/*", "*/" ]
|
||||||
|
},
|
||||||
|
"brackets": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"]
|
||||||
|
],
|
||||||
|
"autoClosingPairs": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["\"", "\""],
|
||||||
|
["'", "'"]
|
||||||
|
],
|
||||||
|
"surroundingPairs": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["\"", "\""],
|
||||||
|
["'", "'"]
|
||||||
|
]
|
||||||
|
}
|
31
config/systemverilog.configuration.json
Normal file
@ -0,0 +1,31 @@
|
|||||||
|
{
|
||||||
|
"comments": {
|
||||||
|
"lineComment": "//",
|
||||||
|
"blockComment": [ "/*", "*/" ]
|
||||||
|
},
|
||||||
|
"brackets": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["begin", "end"],
|
||||||
|
["case", "endcase"],
|
||||||
|
["class", "endclass"],
|
||||||
|
["clocking", "endclocking"],
|
||||||
|
["function", "endfunction"],
|
||||||
|
["group", "endgroup"],
|
||||||
|
["interface", "endinterface"],
|
||||||
|
["module", "endmodule"],
|
||||||
|
["package", "endpackage"],
|
||||||
|
["primitive", "endprimitive"],
|
||||||
|
["program", "endprogram"],
|
||||||
|
["property", "endproperty"],
|
||||||
|
["sequence", "endsequence"],
|
||||||
|
["task", "endtask"]
|
||||||
|
],
|
||||||
|
"autoClosingPairs": [
|
||||||
|
{"open":"(", "close":")", "notIn":["string", "comment"]},
|
||||||
|
{"open":"[", "close":"]", "notIn":["string", "comment"]},
|
||||||
|
{"open":"{", "close":"}", "notIn":["string", "comment"]},
|
||||||
|
{"open":"\"", "close":"\"", "notIn":["string", "comment"]}
|
||||||
|
]
|
||||||
|
}
|
29
config/tcl.configuration.json
Normal file
@ -0,0 +1,29 @@
|
|||||||
|
{
|
||||||
|
"comments": {
|
||||||
|
"lineComment": "#"
|
||||||
|
},
|
||||||
|
"brackets": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"]
|
||||||
|
],
|
||||||
|
"autoClosingPairs": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["\"", "\""]
|
||||||
|
],
|
||||||
|
"surroundingPairs": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["\"", "\""]
|
||||||
|
],
|
||||||
|
"folding": {
|
||||||
|
"markers": {
|
||||||
|
"start": "{",
|
||||||
|
"end": "}"
|
||||||
|
}
|
||||||
|
},
|
||||||
|
"wordPattern": "(?:(?:[^\\s\\{\\$\\\"]+|(?:\\$(?:\\w+|\\{[^\\}]+\\})))+)"
|
||||||
|
}
|
23
config/verilog.configuration.json
Normal file
@ -0,0 +1,23 @@
|
|||||||
|
{
|
||||||
|
"comments": {
|
||||||
|
"lineComment": "//",
|
||||||
|
"blockComment": [ "/*", "*/" ]
|
||||||
|
},
|
||||||
|
"brackets": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["begin", "end"],
|
||||||
|
["case", "endcase"],
|
||||||
|
["function", "endfunction"],
|
||||||
|
["module", "endmodule"],
|
||||||
|
["task", "endtask"]
|
||||||
|
],
|
||||||
|
|
||||||
|
"autoClosingPairs": [
|
||||||
|
{"open":"(", "close":")", "notIn":["string", "comment"]},
|
||||||
|
{"open":"[", "close":"]", "notIn":["string", "comment"]},
|
||||||
|
{"open":"{", "close":"}", "notIn":["string", "comment"]},
|
||||||
|
{"open":"\"", "close":"\"", "notIn":["string", "comment"]}
|
||||||
|
]
|
||||||
|
}
|
26
config/vhdl.configuration.json
Normal file
@ -0,0 +1,26 @@
|
|||||||
|
{
|
||||||
|
"comments": {
|
||||||
|
"lineComment": "--",
|
||||||
|
"blockComment": [ "/*", "*/" ]
|
||||||
|
},
|
||||||
|
"brackets": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"]
|
||||||
|
],
|
||||||
|
"autoClosingPairs": [
|
||||||
|
["{" , "}"],
|
||||||
|
["[" , "]"],
|
||||||
|
["(" , ")"],
|
||||||
|
["'" , "'"],
|
||||||
|
["`" , "`"],
|
||||||
|
["\"", "\""]
|
||||||
|
],
|
||||||
|
"surroundingPairs": [
|
||||||
|
["{", "}"],
|
||||||
|
["[", "]"],
|
||||||
|
["(", ")"],
|
||||||
|
["\"", "\""],
|
||||||
|
["'", "'"]
|
||||||
|
]
|
||||||
|
}
|
1
css/boxicons.2.0.7.min.css
vendored
Normal file
520
css/documentation.css
Normal file
@ -0,0 +1,520 @@
|
|||||||
|
:root {
|
||||||
|
--dark-main-color : #df733d;
|
||||||
|
--light-main-color : #cc6633;
|
||||||
|
}
|
||||||
|
|
||||||
|
|
||||||
|
body {
|
||||||
|
-ms-text-size-adjust: 100%;
|
||||||
|
-webkit-text-size-adjust: 100%;
|
||||||
|
line-height: 1.5;
|
||||||
|
font-family: -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, Helvetica, Arial, sans-serif, "Apple Color Emoji", "Segoe UI Emoji", "Segoe UI Symbol";
|
||||||
|
font-size: 16px;
|
||||||
|
line-height: 1.5;
|
||||||
|
word-wrap: break-word;
|
||||||
|
background-attachment: fixed;
|
||||||
|
background-repeat: no-repeat;
|
||||||
|
background-size: cover;
|
||||||
|
-webkit-background-size: cover;
|
||||||
|
-o-background-size: cover;
|
||||||
|
background-position: center 0;
|
||||||
|
background-image: url(--backgroundImage);
|
||||||
|
}
|
||||||
|
|
||||||
|
.octicon {
|
||||||
|
display: inline-block;
|
||||||
|
vertical-align: text-top;
|
||||||
|
fill: currentColor;
|
||||||
|
}
|
||||||
|
|
||||||
|
a {
|
||||||
|
background-color: transparent;
|
||||||
|
-webkit-text-decoration-skip: objects;
|
||||||
|
}
|
||||||
|
|
||||||
|
#wrapper {
|
||||||
|
justify-content: center;
|
||||||
|
display: flex;
|
||||||
|
}
|
||||||
|
|
||||||
|
#write {
|
||||||
|
padding: 15px 30px;
|
||||||
|
width: 1000px;
|
||||||
|
}
|
||||||
|
|
||||||
|
.ImgCaption {
|
||||||
|
padding-top: 0;
|
||||||
|
margin-top: 7px;
|
||||||
|
width: fit-content;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-dark .ImgCaption {
|
||||||
|
border-bottom: 2px solid var(--dark-main-color);
|
||||||
|
color: white;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-light .ImgCaption {
|
||||||
|
border-bottom: 2px solid var(--light-main-color);
|
||||||
|
color: black;
|
||||||
|
}
|
||||||
|
|
||||||
|
a:active,
|
||||||
|
a:hover {
|
||||||
|
outline-width: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
strong {
|
||||||
|
font-weight: inherit;
|
||||||
|
}
|
||||||
|
|
||||||
|
strong {
|
||||||
|
font-weight: bolder;
|
||||||
|
}
|
||||||
|
|
||||||
|
h1 {
|
||||||
|
font-size: 2em;
|
||||||
|
margin: 0.67em 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
.error-out {
|
||||||
|
display: flex;
|
||||||
|
justify-content: center;
|
||||||
|
align-items: center;
|
||||||
|
}
|
||||||
|
|
||||||
|
.error {
|
||||||
|
color: rgb(227, 60, 60);
|
||||||
|
border-radius: 1em;
|
||||||
|
border: 1.5px solid rgb(227, 60, 60);
|
||||||
|
padding: 10px 20px;
|
||||||
|
}
|
||||||
|
|
||||||
|
code,
|
||||||
|
kbd,
|
||||||
|
pre {
|
||||||
|
font-family: monospace, monospace;
|
||||||
|
font-size: 1em;
|
||||||
|
}
|
||||||
|
|
||||||
|
hr {
|
||||||
|
box-sizing: content-box;
|
||||||
|
height: 0;
|
||||||
|
overflow: visible;
|
||||||
|
}
|
||||||
|
|
||||||
|
input {
|
||||||
|
font: inherit;
|
||||||
|
margin: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
input {
|
||||||
|
overflow: visible;
|
||||||
|
}
|
||||||
|
|
||||||
|
[type="checkbox"] {
|
||||||
|
box-sizing: border-box;
|
||||||
|
padding: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
* {
|
||||||
|
box-sizing: border-box;
|
||||||
|
}
|
||||||
|
|
||||||
|
input {
|
||||||
|
font-family: inherit;
|
||||||
|
font-size: inherit;
|
||||||
|
line-height: inherit;
|
||||||
|
}
|
||||||
|
|
||||||
|
a {
|
||||||
|
color: #4078c0;
|
||||||
|
text-decoration: none;
|
||||||
|
}
|
||||||
|
|
||||||
|
a:hover,
|
||||||
|
a:active {
|
||||||
|
text-decoration: underline;
|
||||||
|
}
|
||||||
|
|
||||||
|
strong {
|
||||||
|
font-weight: 600;
|
||||||
|
}
|
||||||
|
|
||||||
|
hr {
|
||||||
|
height: 0;
|
||||||
|
margin: 15px 0;
|
||||||
|
overflow: hidden;
|
||||||
|
background: transparent;
|
||||||
|
border: 0;
|
||||||
|
border-bottom: 1px solid;
|
||||||
|
}
|
||||||
|
|
||||||
|
hr::before {
|
||||||
|
display: table;
|
||||||
|
content: "";
|
||||||
|
}
|
||||||
|
|
||||||
|
hr::after {
|
||||||
|
display: table;
|
||||||
|
clear: both;
|
||||||
|
content: "";
|
||||||
|
}
|
||||||
|
|
||||||
|
table {
|
||||||
|
border-spacing: 0;
|
||||||
|
border-collapse: collapse;
|
||||||
|
}
|
||||||
|
|
||||||
|
td,
|
||||||
|
th {
|
||||||
|
padding: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
h1,
|
||||||
|
h2,
|
||||||
|
h3,
|
||||||
|
h4,
|
||||||
|
h5,
|
||||||
|
h6 {
|
||||||
|
margin-top: 0;
|
||||||
|
margin-bottom: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
h1 {
|
||||||
|
font-size: 32px;
|
||||||
|
font-weight: 600;
|
||||||
|
}
|
||||||
|
|
||||||
|
h2 {
|
||||||
|
font-size: 24px;
|
||||||
|
font-weight: 600;
|
||||||
|
}
|
||||||
|
|
||||||
|
h3 {
|
||||||
|
font-size: 20px;
|
||||||
|
font-weight: 600;
|
||||||
|
}
|
||||||
|
|
||||||
|
h4 {
|
||||||
|
font-size: 16px;
|
||||||
|
font-weight: 600;
|
||||||
|
}
|
||||||
|
|
||||||
|
h5 {
|
||||||
|
font-size: 14px;
|
||||||
|
font-weight: 600;
|
||||||
|
}
|
||||||
|
|
||||||
|
h6 {
|
||||||
|
font-size: 12px;
|
||||||
|
font-weight: 600;
|
||||||
|
}
|
||||||
|
|
||||||
|
p {
|
||||||
|
margin-top: 0;
|
||||||
|
margin-bottom: 10px;
|
||||||
|
}
|
||||||
|
|
||||||
|
blockquote {
|
||||||
|
margin: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
ul,
|
||||||
|
ol {
|
||||||
|
padding-left: 0;
|
||||||
|
margin-top: 0;
|
||||||
|
margin-bottom: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
ol ol,
|
||||||
|
ul ol {
|
||||||
|
list-style-type: lower-roman;
|
||||||
|
}
|
||||||
|
|
||||||
|
ul ul ol,
|
||||||
|
ul ol ol,
|
||||||
|
ol ul ol,
|
||||||
|
ol ol ol {
|
||||||
|
list-style-type: lower-alpha;
|
||||||
|
}
|
||||||
|
|
||||||
|
dd {
|
||||||
|
margin-left: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
code {
|
||||||
|
font-family: Consolas, "Liberation Mono", Menlo, Courier, monospace;
|
||||||
|
font-size: 12px;
|
||||||
|
}
|
||||||
|
|
||||||
|
pre {
|
||||||
|
margin-top: 0;
|
||||||
|
margin-bottom: 0;
|
||||||
|
font: 12px Consolas, "Liberation Mono", Menlo, Courier, monospace;
|
||||||
|
}
|
||||||
|
|
||||||
|
.octicon {
|
||||||
|
vertical-align: text-bottom;
|
||||||
|
}
|
||||||
|
|
||||||
|
input {
|
||||||
|
-webkit-font-feature-settings: "liga" 0;
|
||||||
|
font-feature-settings: "liga" 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
.markdown-body::before {
|
||||||
|
display: table;
|
||||||
|
content: "";
|
||||||
|
}
|
||||||
|
|
||||||
|
.markdown-body::after {
|
||||||
|
display: table;
|
||||||
|
clear: both;
|
||||||
|
content: "";
|
||||||
|
}
|
||||||
|
|
||||||
|
.markdown-body>*:first-child {
|
||||||
|
margin-top: 0 !important;
|
||||||
|
}
|
||||||
|
|
||||||
|
.markdown-body>*:last-child {
|
||||||
|
margin-bottom: 0 !important;
|
||||||
|
}
|
||||||
|
|
||||||
|
a:not([href]) {
|
||||||
|
color: inherit;
|
||||||
|
text-decoration: none;
|
||||||
|
}
|
||||||
|
|
||||||
|
.anchor {
|
||||||
|
float: left;
|
||||||
|
padding-right: 4px;
|
||||||
|
margin-left: -20px;
|
||||||
|
line-height: 1;
|
||||||
|
}
|
||||||
|
|
||||||
|
.anchor:focus {
|
||||||
|
outline: none;
|
||||||
|
}
|
||||||
|
|
||||||
|
p,
|
||||||
|
blockquote,
|
||||||
|
ul,
|
||||||
|
ol,
|
||||||
|
dl,
|
||||||
|
table,
|
||||||
|
pre {
|
||||||
|
margin-top: 0;
|
||||||
|
margin-bottom: 16px;
|
||||||
|
}
|
||||||
|
|
||||||
|
hr {
|
||||||
|
height: 0.25em;
|
||||||
|
padding: 0;
|
||||||
|
margin: 24px 0;
|
||||||
|
border: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
blockquote {
|
||||||
|
padding: 0 1em;
|
||||||
|
}
|
||||||
|
|
||||||
|
blockquote>:first-child {
|
||||||
|
margin-top: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
blockquote>:last-child {
|
||||||
|
margin-bottom: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
kbd {
|
||||||
|
display: inline-block;
|
||||||
|
padding: 3px 5px;
|
||||||
|
font-size: 11px;
|
||||||
|
line-height: 10px;
|
||||||
|
vertical-align: middle;
|
||||||
|
border-radius: 3px;
|
||||||
|
}
|
||||||
|
|
||||||
|
#write h1,
|
||||||
|
#write h2,
|
||||||
|
#write h3,
|
||||||
|
#write h4,
|
||||||
|
#write h5,
|
||||||
|
#write h6 {
|
||||||
|
margin-top: 24px;
|
||||||
|
margin-bottom: 16px;
|
||||||
|
font-weight: 600;
|
||||||
|
line-height: 1.25;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-dark h1 {
|
||||||
|
color: #eee;
|
||||||
|
border-bottom: 3px solid #df733d;
|
||||||
|
width: fit-content;
|
||||||
|
margin: 0 0 1.0em 0;
|
||||||
|
line-height: 1.3;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-dark h2 {
|
||||||
|
color: #eee;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-dark h2::before {
|
||||||
|
content: 'H2';
|
||||||
|
border-radius: .3em;
|
||||||
|
font-size: .8em;
|
||||||
|
padding: 3px 7px;
|
||||||
|
margin-right: 15px;
|
||||||
|
color: #eee;
|
||||||
|
background-color: #df733d;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-light h1 {
|
||||||
|
color: #000;
|
||||||
|
border-bottom: 3px solid #cc6633;
|
||||||
|
width: fit-content;
|
||||||
|
margin: 0 0 1.0em 0;
|
||||||
|
line-height: 1.3;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-light h2 {
|
||||||
|
color: #000;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-light h2::before {
|
||||||
|
content: 'H2';
|
||||||
|
border-radius: .3em;
|
||||||
|
font-size: .8em;
|
||||||
|
padding: 3px 7px;
|
||||||
|
margin-right: 15px;
|
||||||
|
color: #eee;
|
||||||
|
background-color: #cc6633;
|
||||||
|
}
|
||||||
|
|
||||||
|
h1 {
|
||||||
|
padding-bottom: 0.3em;
|
||||||
|
font-size: 2em;
|
||||||
|
}
|
||||||
|
|
||||||
|
h2 {
|
||||||
|
padding-bottom: 0.3em;
|
||||||
|
font-size: 1.5em;
|
||||||
|
}
|
||||||
|
|
||||||
|
h3 {
|
||||||
|
font-size: 1.25em;
|
||||||
|
}
|
||||||
|
|
||||||
|
h4 {
|
||||||
|
font-size: 1em;
|
||||||
|
}
|
||||||
|
|
||||||
|
h5 {
|
||||||
|
font-size: 0.875em;
|
||||||
|
}
|
||||||
|
|
||||||
|
h6 {
|
||||||
|
font-size: 0.85em;
|
||||||
|
}
|
||||||
|
|
||||||
|
ul,
|
||||||
|
ol {
|
||||||
|
padding-left: 2em;
|
||||||
|
}
|
||||||
|
|
||||||
|
ul ul,
|
||||||
|
ul ol,
|
||||||
|
ol ol,
|
||||||
|
ol ul {
|
||||||
|
margin-top: 0;
|
||||||
|
margin-bottom: 0;
|
||||||
|
}
|
||||||
|
|
||||||
|
li>p {
|
||||||
|
margin-top: 16px;
|
||||||
|
}
|
||||||
|
|
||||||
|
li+li {
|
||||||
|
margin-top: 0.25em;
|
||||||
|
}
|
||||||
|
|
||||||
|
/*
|
||||||
|
table {
|
||||||
|
display: block;
|
||||||
|
width: 100%;
|
||||||
|
overflow: auto;
|
||||||
|
}
|
||||||
|
|
||||||
|
table th {
|
||||||
|
font-weight: bold;
|
||||||
|
}
|
||||||
|
|
||||||
|
table th,
|
||||||
|
table td {
|
||||||
|
padding: 6px 13px;
|
||||||
|
border: .7px solid;
|
||||||
|
}
|
||||||
|
|
||||||
|
table tr {
|
||||||
|
border-top: .7px solid;
|
||||||
|
} */
|
||||||
|
|
||||||
|
|
||||||
|
img {
|
||||||
|
max-width: 100%;
|
||||||
|
box-sizing: content-box;
|
||||||
|
}
|
||||||
|
|
||||||
|
#write table
|
||||||
|
{
|
||||||
|
width: 95%;
|
||||||
|
border-collapse: collapse;
|
||||||
|
text-align: center;
|
||||||
|
margin: 20px;
|
||||||
|
max-width: 750px;
|
||||||
|
}
|
||||||
|
#write table td, table th
|
||||||
|
{
|
||||||
|
border: 1px solid transparent;
|
||||||
|
padding: 12px 10px;
|
||||||
|
border-radius: .5em;
|
||||||
|
word-wrap: break-word;
|
||||||
|
}
|
||||||
|
|
||||||
|
#write table thead th
|
||||||
|
{
|
||||||
|
background-color: var(--dark-main-color);
|
||||||
|
font-size: 20px;
|
||||||
|
font-weight: bolder;
|
||||||
|
width: 100px;
|
||||||
|
text-align: center;
|
||||||
|
vertical-align: middle;
|
||||||
|
padding: 10px;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-dark table thead th {
|
||||||
|
color: white;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-dark table td, table th {
|
||||||
|
color: rgb(234, 231, 231);
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-light table thead th {
|
||||||
|
color: white;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-light table td, table th {
|
||||||
|
color: rgb(16, 16, 16);
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-dark table tr:nth-child(even)
|
||||||
|
{
|
||||||
|
background: #20242b;
|
||||||
|
}
|
||||||
|
|
||||||
|
.vscode-light table tr:nth-child(even)
|
||||||
|
{
|
||||||
|
background: #e7e1e1;
|
||||||
|
}
|
207
css/fsm_viewer.css
Normal file
@ -0,0 +1,207 @@
|
|||||||
|
body::-webkit-scrollbar {
|
||||||
|
width: 2em;
|
||||||
|
}
|
||||||
|
|
||||||
|
body::-webkit-scrollbar-track {
|
||||||
|
box-shadow: inset 0 0 6px rgba(0, 0, 0, 0.3);
|
||||||
|
}
|
||||||
|
|
||||||
|
body::-webkit-scrollbar-thumb {
|
||||||
|
background-color: darkgrey;
|
||||||
|
outline: 1px solid slategrey;
|
||||||
|
}
|
||||||
|
|
||||||
|
:root {
|
||||||
|
--light-foreground-color: black;
|
||||||
|
--dark-foreground-color: whitesmoke;
|
||||||
|
--high-contrast-foreground-color: whitesmoke;
|
||||||
|
}
|
||||||
|
|
||||||
|
h1,
|
||||||
|
h2,
|
||||||
|
h3,
|
||||||
|
table {
|
||||||
|
margin-left: 5%;
|
||||||
|
margin-right: 5%;
|
||||||
|
}
|
||||||
|
|
||||||
|
td,
|
||||||
|
th,
|
||||||
|
h1,
|
||||||
|
h2,
|
||||||
|
h3 {
|
||||||
|
color: black;
|
||||||
|
}
|
||||||
|
|
||||||
|
h1,
|
||||||
|
h2 {
|
||||||
|
font-weight: bold;
|
||||||
|
}
|
||||||
|
|
||||||
|
tr:hover {
|
||||||
|
background-color: #ddd;
|
||||||
|
}
|
||||||
|
|
||||||
|
td,
|
||||||
|
th {
|
||||||
|
border: 1px solid grey
|
||||||
|
}
|
||||||
|
|
||||||
|
p {
|
||||||
|
color: black;
|
||||||
|
}
|
||||||
|
|
||||||
|
p {
|
||||||
|
margin: 5%;
|
||||||
|
}
|
||||||
|
|
||||||
|
th {
|
||||||
|
background-color: #ffd78c;
|
||||||
|
}
|
||||||
|
|
||||||
|
tr:nth-child(even) {
|
||||||
|
background-color: #f2f2f2;
|
||||||
|
}
|
||||||
|
|
||||||
|
html,
|
||||||
|
body {
|
||||||
|
height: 100%;
|
||||||
|
overflow: hidden;
|
||||||
|
overflow-y: auto;
|
||||||
|
color: black;
|
||||||
|
}
|
||||||
|
|
||||||
|
body {
|
||||||
|
background: white;
|
||||||
|
display: flex;
|
||||||
|
flex-direction: column;
|
||||||
|
margin: 0;
|
||||||
|
padding: 0;
|
||||||
|
cursor: default;
|
||||||
|
}
|
||||||
|
|
||||||
|
.toolbar {
|
||||||
|
overflow: visible;
|
||||||
|
width: 100%;
|
||||||
|
top: 0;
|
||||||
|
position: absolute;
|
||||||
|
color: black;
|
||||||
|
display: flex;
|
||||||
|
font: 12px "Segoe UI", sans-serif;
|
||||||
|
padding: 0.25em;
|
||||||
|
white-space: nowrap;
|
||||||
|
z-index: 2;
|
||||||
|
}
|
||||||
|
|
||||||
|
.left-panel,
|
||||||
|
.center-panel,
|
||||||
|
.right-panel {
|
||||||
|
align-items: center;
|
||||||
|
display: flex;
|
||||||
|
flex: 1;
|
||||||
|
}
|
||||||
|
|
||||||
|
.center-panel {
|
||||||
|
justify-content: center;
|
||||||
|
}
|
||||||
|
|
||||||
|
.right-panel {
|
||||||
|
justify-content: flex-end;
|
||||||
|
}
|
||||||
|
|
||||||
|
.button {
|
||||||
|
background: dimgray;
|
||||||
|
border: none;
|
||||||
|
box-sizing: border-box;
|
||||||
|
color: whitesmoke;
|
||||||
|
cursor: default;
|
||||||
|
font: inherit;
|
||||||
|
margin: 0.25em;
|
||||||
|
outline: none !important;
|
||||||
|
padding: 0.5em;
|
||||||
|
text-align: center;
|
||||||
|
text-decoration: none !important;
|
||||||
|
transition: background ease-out 125ms, color ease-out 125ms;
|
||||||
|
user-select: none;
|
||||||
|
width: 10em;
|
||||||
|
border-radius: .7em;
|
||||||
|
}
|
||||||
|
|
||||||
|
.button:hover {
|
||||||
|
background: darkgray;
|
||||||
|
box-shadow: inset rgba(255, 255, 255, 0.25) 0 0 1em;
|
||||||
|
color: black;
|
||||||
|
cursor: pointer;
|
||||||
|
border-radius: .7em;
|
||||||
|
}
|
||||||
|
|
||||||
|
.fancy-checkbox {
|
||||||
|
font-size: 20px;
|
||||||
|
margin: 10px;
|
||||||
|
border-radius: .7em;
|
||||||
|
}
|
||||||
|
|
||||||
|
.fancy-checkbox:hover {
|
||||||
|
cursor: pointer;
|
||||||
|
}
|
||||||
|
|
||||||
|
|
||||||
|
.fancy-checkbox>input {
|
||||||
|
display: none;
|
||||||
|
cursor: pointer;
|
||||||
|
}
|
||||||
|
|
||||||
|
.separator {
|
||||||
|
width: 1em;
|
||||||
|
}
|
||||||
|
|
||||||
|
#zoom {
|
||||||
|
color: white;
|
||||||
|
margin: 0.5em;
|
||||||
|
}
|
||||||
|
|
||||||
|
#workspace {
|
||||||
|
cursor: crosshair;
|
||||||
|
flex: 1;
|
||||||
|
overflow: hidden;
|
||||||
|
position: relative;
|
||||||
|
user-select: none;
|
||||||
|
}
|
||||||
|
|
||||||
|
#workspace:focus {
|
||||||
|
outline: none;
|
||||||
|
}
|
||||||
|
|
||||||
|
#image {
|
||||||
|
box-shadow: rgba(0, 0, 0, 0.6) 0 0 3em;
|
||||||
|
max-height: none;
|
||||||
|
max-width: none;
|
||||||
|
position: absolute;
|
||||||
|
}
|
||||||
|
|
||||||
|
#status {
|
||||||
|
align-items: center;
|
||||||
|
background: rgba(0, 0, 0, 0.85);
|
||||||
|
color: white;
|
||||||
|
display: flex;
|
||||||
|
font: 16px Monaco, Menlo, Consolas, monospace;
|
||||||
|
height: 100%;
|
||||||
|
justify-content: center;
|
||||||
|
margin: 0;
|
||||||
|
position: absolute;
|
||||||
|
width: 100%;
|
||||||
|
z-index: 1;
|
||||||
|
}
|
||||||
|
|
||||||
|
#status:empty {
|
||||||
|
display: none;
|
||||||
|
}
|
||||||
|
|
||||||
|
#message {
|
||||||
|
font-weight: bold;
|
||||||
|
font-size: 26px !important;
|
||||||
|
}
|
||||||
|
|
||||||
|
#code {
|
||||||
|
color: #424242;
|
||||||
|
}
|
BIN
css/img/icon/cells.png
Normal file
After Width: | Height: | Size: 479 B |
BIN
css/img/icon/main.png
Normal file
After Width: | Height: | Size: 522 B |
BIN
css/img/icon/port.png
Normal file
After Width: | Height: | Size: 473 B |
BIN
css/img/line_conn.gif
Normal file
After Width: | Height: | Size: 45 B |
BIN
css/img/loading.gif
Normal file
After Width: | Height: | Size: 381 B |
BIN
css/img/standard.png
Normal file
After Width: | Height: | Size: 11 KiB |
277
css/netlist_style.css
Normal file
@ -0,0 +1,277 @@
|
|||||||
|
/* Google Fonts Import Link */
|
||||||
|
@import url('https://fonts.googleapis.com/css2?family=Poppins:wght@300;400;500;600;700&display=swap');
|
||||||
|
*{
|
||||||
|
margin: 0;
|
||||||
|
padding: 0;
|
||||||
|
box-sizing: border-box;
|
||||||
|
font-family: 'Poppins', sans-serif;
|
||||||
|
}
|
||||||
|
|
||||||
|
.home-section .home-content{
|
||||||
|
height: 60px;
|
||||||
|
display: flex;
|
||||||
|
align-items: center;
|
||||||
|
}
|
||||||
|
.home-section .home-content .bx-menu,
|
||||||
|
.home-section .home-content .text{
|
||||||
|
color: #11101d;
|
||||||
|
font-size: 35px;
|
||||||
|
}
|
||||||
|
.home-section .home-content .bx-menu{
|
||||||
|
margin: 0 15px;
|
||||||
|
cursor: pointer;
|
||||||
|
}
|
||||||
|
.home-section .home-content .text{
|
||||||
|
font-size: 26px;
|
||||||
|
font-weight: 600;
|
||||||
|
}
|
||||||
|
|
||||||
|
.home-section .main {
|
||||||
|
height: 91%;
|
||||||
|
width: 100%;
|
||||||
|
}
|
||||||
|
|
||||||
|
.main .container {
|
||||||
|
display: flex;
|
||||||
|
flex-direction: column;
|
||||||
|
box-sizing: border-box;
|
||||||
|
padding: 0px;
|
||||||
|
height: 100%;
|
||||||
|
width: 100%;
|
||||||
|
margin: 0px;
|
||||||
|
}
|
||||||
|
|
||||||
|
.canvas {
|
||||||
|
padding: 0px;
|
||||||
|
height: 100%;
|
||||||
|
width: 100%;
|
||||||
|
margin: 0px;
|
||||||
|
|
||||||
|
border-width: 3px;
|
||||||
|
border: inset;
|
||||||
|
box-shadow: inset 0 -1px 0 rgba( 255, 255, 250, 0.6),
|
||||||
|
inset 0 -2px 0 rgba( 0, 0, 0, 0.1);
|
||||||
|
}
|
||||||
|
|
||||||
|
.component {
|
||||||
|
border-width: 3px;
|
||||||
|
border: inset;
|
||||||
|
box-shadow: inset 0 -1px 0 rgba( 255, 255, 250, 0.6),
|
||||||
|
inset 0 -2px 0 rgba( 0, 0, 0, 0.1);
|
||||||
|
}
|
||||||
|
|
||||||
|
.sidebar{
|
||||||
|
position: fixed;
|
||||||
|
top: 0;
|
||||||
|
left: 0;
|
||||||
|
height: 100%;
|
||||||
|
width: 260px;
|
||||||
|
background: #11101d;
|
||||||
|
z-index: 100;
|
||||||
|
transition: all 0.5s ease;
|
||||||
|
}
|
||||||
|
.sidebar.close{
|
||||||
|
width: 78px;
|
||||||
|
}
|
||||||
|
.sidebar .logo-details{
|
||||||
|
height: 60px;
|
||||||
|
width: 100%;
|
||||||
|
display: flex;
|
||||||
|
align-items: center;
|
||||||
|
}
|
||||||
|
.sidebar .logo-details i{
|
||||||
|
font-size: 30px;
|
||||||
|
color: #fff;
|
||||||
|
height: 50px;
|
||||||
|
min-width: 78px;
|
||||||
|
text-align: center;
|
||||||
|
line-height: 50px;
|
||||||
|
}
|
||||||
|
.sidebar .logo-details .logo_name{
|
||||||
|
font-size: 22px;
|
||||||
|
color: #fff;
|
||||||
|
font-weight: 600;
|
||||||
|
transition: 0.3s ease;
|
||||||
|
transition-delay: 0.1s;
|
||||||
|
}
|
||||||
|
.sidebar.close .logo-details .logo_name{
|
||||||
|
transition-delay: 0s;
|
||||||
|
opacity: 0;
|
||||||
|
pointer-events: none;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links{
|
||||||
|
height: 100%;
|
||||||
|
padding: 30px 0 150px 0;
|
||||||
|
overflow: auto;
|
||||||
|
}
|
||||||
|
.sidebar.close .nav-links{
|
||||||
|
overflow: visible;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links::-webkit-scrollbar{
|
||||||
|
display: none;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li{
|
||||||
|
position: relative;
|
||||||
|
list-style: none;
|
||||||
|
transition: all 0.4s ease;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li:hover{
|
||||||
|
background: #1d1b31;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li .iocn-link{
|
||||||
|
display: flex;
|
||||||
|
align-items: center;
|
||||||
|
justify-content: space-between;
|
||||||
|
}
|
||||||
|
.sidebar.close .nav-links li .iocn-link{
|
||||||
|
display: block
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li i{
|
||||||
|
height: 50px;
|
||||||
|
min-width: 78px;
|
||||||
|
text-align: center;
|
||||||
|
line-height: 50px;
|
||||||
|
color: #fff;
|
||||||
|
font-size: 20px;
|
||||||
|
cursor: pointer;
|
||||||
|
transition: all 0.3s ease;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li.showMenu i.arrow{
|
||||||
|
transform: rotate(-180deg);
|
||||||
|
}
|
||||||
|
.sidebar.close .nav-links i.arrow{
|
||||||
|
display: none;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li a{
|
||||||
|
display: flex;
|
||||||
|
align-items: center;
|
||||||
|
text-decoration: none;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li a .link_name{
|
||||||
|
font-size: 18px;
|
||||||
|
font-weight: 400;
|
||||||
|
color: #fff;
|
||||||
|
transition: all 0.4s ease;
|
||||||
|
}
|
||||||
|
.sidebar.close .nav-links li a .link_name{
|
||||||
|
opacity: 0;
|
||||||
|
pointer-events: none;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li .sub-menu{
|
||||||
|
padding: 6px 6px 14px 80px;
|
||||||
|
margin-top: -10px;
|
||||||
|
background: #1d1b31;
|
||||||
|
display: none;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li.showMenu .sub-menu{
|
||||||
|
display: block;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li .sub-menu a{
|
||||||
|
color: #fff;
|
||||||
|
font-size: 15px;
|
||||||
|
padding: 5px 0;
|
||||||
|
white-space: nowrap;
|
||||||
|
opacity: 0.6;
|
||||||
|
transition: all 0.3s ease;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li .sub-menu a:hover{
|
||||||
|
opacity: 1;
|
||||||
|
}
|
||||||
|
.sidebar.close .nav-links li .sub-menu{
|
||||||
|
position: absolute;
|
||||||
|
left: 100%;
|
||||||
|
top: -10px;
|
||||||
|
margin-top: 0;
|
||||||
|
padding: 10px 20px;
|
||||||
|
border-radius: 0 6px 6px 0;
|
||||||
|
opacity: 0;
|
||||||
|
display: block;
|
||||||
|
pointer-events: none;
|
||||||
|
transition: 0s;
|
||||||
|
}
|
||||||
|
.sidebar.close .nav-links li:hover .sub-menu{
|
||||||
|
top: 0;
|
||||||
|
opacity: 1;
|
||||||
|
pointer-events: auto;
|
||||||
|
transition: all 0.4s ease;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li .sub-menu .link_name{
|
||||||
|
display: none;
|
||||||
|
}
|
||||||
|
.sidebar.close .nav-links li .sub-menu .link_name{
|
||||||
|
font-size: 18px;
|
||||||
|
opacity: 1;
|
||||||
|
display: block;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li .sub-menu.blank{
|
||||||
|
opacity: 1;
|
||||||
|
pointer-events: auto;
|
||||||
|
padding: 3px 20px 6px 16px;
|
||||||
|
opacity: 0;
|
||||||
|
pointer-events: none;
|
||||||
|
}
|
||||||
|
.sidebar .nav-links li:hover .sub-menu.blank{
|
||||||
|
top: 50%;
|
||||||
|
transform: translateY(-50%);
|
||||||
|
}
|
||||||
|
.sidebar .profile-details{
|
||||||
|
position: fixed;
|
||||||
|
bottom: 0;
|
||||||
|
width: 260px;
|
||||||
|
display: flex;
|
||||||
|
align-items: center;
|
||||||
|
justify-content: space-between;
|
||||||
|
background: #1d1b31;
|
||||||
|
padding: 6px 0;
|
||||||
|
transition: all 0.5s ease;
|
||||||
|
}
|
||||||
|
.sidebar.close .profile-details{
|
||||||
|
background: none;
|
||||||
|
}
|
||||||
|
.sidebar.close .profile-details{
|
||||||
|
width: 78px;
|
||||||
|
}
|
||||||
|
.sidebar .profile-details .profile-content{
|
||||||
|
display: flex;
|
||||||
|
align-items: center;
|
||||||
|
}
|
||||||
|
.sidebar .profile-details img{
|
||||||
|
height: 52px;
|
||||||
|
width: 52px;
|
||||||
|
object-fit: cover;
|
||||||
|
border-radius: 16px;
|
||||||
|
margin: 0 14px 0 12px;
|
||||||
|
background: #1d1b31;
|
||||||
|
transition: all 0.5s ease;
|
||||||
|
}
|
||||||
|
.sidebar.close .profile-details img{
|
||||||
|
padding: 10px;
|
||||||
|
}
|
||||||
|
.sidebar .profile-details .profile_name,
|
||||||
|
.sidebar .profile-details .job{
|
||||||
|
color: #fff;
|
||||||
|
font-size: 18px;
|
||||||
|
font-weight: 500;
|
||||||
|
white-space: nowrap;
|
||||||
|
}
|
||||||
|
.sidebar.close .profile-details i,
|
||||||
|
.sidebar.close .profile-details .profile_name,
|
||||||
|
.sidebar.close .profile-details .job{
|
||||||
|
display: none;
|
||||||
|
}
|
||||||
|
.sidebar .profile-details .job{
|
||||||
|
font-size: 12px;
|
||||||
|
}
|
||||||
|
.home-section{
|
||||||
|
position: relative;
|
||||||
|
background: #E4E9F7;
|
||||||
|
height: 100vh;
|
||||||
|
left: 260px;
|
||||||
|
width: calc(100% - 260px);
|
||||||
|
transition: all 0.5s ease;
|
||||||
|
}
|
||||||
|
.sidebar.close ~ .home-section{
|
||||||
|
left: 78px;
|
||||||
|
width: calc(100% - 78px);
|
||||||
|
}
|
||||||
|
|
294
css/netlist_tree_style.css
Normal file
@ -0,0 +1,294 @@
|
|||||||
|
/*-------------------------------------
|
||||||
|
zTree Style
|
||||||
|
|
||||||
|
version: 3.5.19
|
||||||
|
author: Hunter.z
|
||||||
|
email: hunter.z@263.net
|
||||||
|
website: http://code.google.com/p/jquerytree/
|
||||||
|
|
||||||
|
-------------------------------------*/
|
||||||
|
|
||||||
|
.main .ztree * {
|
||||||
|
padding:0;
|
||||||
|
margin:0;
|
||||||
|
font-size:12px;
|
||||||
|
font-family: Verdana, Arial, Helvetica, AppleGothic, sans-serif;
|
||||||
|
}
|
||||||
|
|
||||||
|
.main .ztree {
|
||||||
|
margin:0;
|
||||||
|
padding:5px;
|
||||||
|
width: 100%;
|
||||||
|
height: 100%;
|
||||||
|
color:#333
|
||||||
|
}
|
||||||
|
.ztree li{
|
||||||
|
padding:0;
|
||||||
|
margin:0;
|
||||||
|
list-style:none;
|
||||||
|
line-height:14px;
|
||||||
|
text-align:left;
|
||||||
|
white-space:nowrap;
|
||||||
|
outline:0
|
||||||
|
}
|
||||||
|
.ztree li ul{
|
||||||
|
margin:0;
|
||||||
|
padding:0 0 0 18px
|
||||||
|
}
|
||||||
|
.ztree li ul.line{
|
||||||
|
background:url(./img/line_conn.gif) 0 0 repeat-y;
|
||||||
|
}
|
||||||
|
|
||||||
|
.ztree li a {
|
||||||
|
padding:1px 3px 0 0;
|
||||||
|
margin:0;
|
||||||
|
cursor:pointer;
|
||||||
|
height:17px;
|
||||||
|
color:#333;
|
||||||
|
background-color: transparent;
|
||||||
|
text-decoration:none;
|
||||||
|
vertical-align:top;
|
||||||
|
display: inline-block
|
||||||
|
}
|
||||||
|
.ztree li a:hover {
|
||||||
|
text-decoration:underline
|
||||||
|
}
|
||||||
|
.ztree li a.curSelectedNode {
|
||||||
|
padding-top:0px;
|
||||||
|
background-color:#FFE6B0;
|
||||||
|
color:black;
|
||||||
|
height:16px;
|
||||||
|
border:1px #FFB951 solid;
|
||||||
|
opacity:0.8;
|
||||||
|
}
|
||||||
|
.ztree li a.curSelectedNode_Edit {
|
||||||
|
padding-top:0px;
|
||||||
|
background-color:#FFE6B0;
|
||||||
|
color:black;
|
||||||
|
height:16px;
|
||||||
|
border:1px #FFB951 solid;
|
||||||
|
opacity:0.8;
|
||||||
|
}
|
||||||
|
.ztree li a.tmpTargetNode_inner {
|
||||||
|
padding-top:0px;
|
||||||
|
background-color:#316AC5;
|
||||||
|
color:white;
|
||||||
|
height:16px;
|
||||||
|
border:1px #316AC5 solid;
|
||||||
|
|
||||||
|
opacity:0.8;
|
||||||
|
filter:alpha(opacity=80)
|
||||||
|
}
|
||||||
|
|
||||||
|
.ztree li a input.rename {
|
||||||
|
height:14px;
|
||||||
|
width:80px;
|
||||||
|
padding:0;
|
||||||
|
margin:0;
|
||||||
|
|
||||||
|
font-size:12px;
|
||||||
|
border:1px #7EC4CC solid;
|
||||||
|
*border:0px
|
||||||
|
}
|
||||||
|
.ztree li span {
|
||||||
|
line-height:16px;
|
||||||
|
margin-right:2px
|
||||||
|
}
|
||||||
|
.ztree li span.button {
|
||||||
|
line-height:0;
|
||||||
|
margin:0;
|
||||||
|
width:16px;
|
||||||
|
height:16px;
|
||||||
|
display: inline-block;
|
||||||
|
vertical-align:middle;
|
||||||
|
|
||||||
|
border:0 none;
|
||||||
|
cursor: pointer;
|
||||||
|
outline:none;
|
||||||
|
|
||||||
|
background-color:transparent;
|
||||||
|
background-repeat:no-repeat;
|
||||||
|
background-attachment: scroll;
|
||||||
|
|
||||||
|
background-image:url("./img/standard.png");
|
||||||
|
*background-image:url("./img/standard.gif")
|
||||||
|
}
|
||||||
|
|
||||||
|
.ztree li span.button.chk {
|
||||||
|
width:13px;
|
||||||
|
height:13px;
|
||||||
|
margin:0 3px 0 0;
|
||||||
|
cursor: auto
|
||||||
|
}
|
||||||
|
|
||||||
|
.ztree li span.button.chk.checkbox_false_full {background-position:0 0}
|
||||||
|
.ztree li span.button.chk.checkbox_false_full_focus {background-position:0 -14px}
|
||||||
|
.ztree li span.button.chk.checkbox_false_part {background-position:0 -28px}
|
||||||
|
.ztree li span.button.chk.checkbox_false_part_focus {background-position:0 -42px}
|
||||||
|
.ztree li span.button.chk.checkbox_false_disable {background-position:0 -56px}
|
||||||
|
.ztree li span.button.chk.checkbox_true_full {background-position:-14px 0}
|
||||||
|
.ztree li span.button.chk.checkbox_true_full_focus {background-position:-14px -14px}
|
||||||
|
.ztree li span.button.chk.checkbox_true_part {background-position:-14px -28px}
|
||||||
|
.ztree li span.button.chk.checkbox_true_part_focus {background-position:-14px -42px}
|
||||||
|
.ztree li span.button.chk.checkbox_true_disable {background-position:-14px -56px}
|
||||||
|
.ztree li span.button.chk.radio_false_full {background-position:-28px 0}
|
||||||
|
.ztree li span.button.chk.radio_false_full_focus {background-position:-28px -14px}
|
||||||
|
.ztree li span.button.chk.radio_false_part {background-position:-28px -28px}
|
||||||
|
.ztree li span.button.chk.radio_false_part_focus {background-position:-28px -42px}
|
||||||
|
.ztree li span.button.chk.radio_false_disable {background-position:-28px -56px}
|
||||||
|
.ztree li span.button.chk.radio_true_full {background-position:-42px 0}
|
||||||
|
.ztree li span.button.chk.radio_true_full_focus {background-position:-42px -14px}
|
||||||
|
.ztree li span.button.chk.radio_true_part {background-position:-42px -28px}
|
||||||
|
.ztree li span.button.chk.radio_true_part_focus {background-position:-42px -42px}
|
||||||
|
.ztree li span.button.chk.radio_true_disable {background-position:-42px -56px}
|
||||||
|
|
||||||
|
.ztree li span.button.switch {
|
||||||
|
width:18px;
|
||||||
|
height:18px
|
||||||
|
}
|
||||||
|
.ztree li span.button.root_open{background-position:-92px -54px}
|
||||||
|
.ztree li span.button.root_close{background-position:-74px -54px}
|
||||||
|
.ztree li span.button.roots_open{background-position:-92px 0}
|
||||||
|
.ztree li span.button.roots_close{background-position:-74px 0}
|
||||||
|
.ztree li span.button.center_open{background-position:-92px -18px}
|
||||||
|
.ztree li span.button.center_close{background-position:-74px -18px}
|
||||||
|
.ztree li span.button.bottom_open{background-position:-92px -36px}
|
||||||
|
.ztree li span.button.bottom_close{background-position:-74px -36px}
|
||||||
|
.ztree li span.button.noline_open{background-position:-92px -72px}
|
||||||
|
.ztree li span.button.noline_close{background-position:-74px -72px}
|
||||||
|
.ztree li span.button.root_docu{background:none;}
|
||||||
|
.ztree li span.button.roots_docu{background-position:-56px 0}
|
||||||
|
.ztree li span.button.center_docu{background-position:-56px -18px}
|
||||||
|
.ztree li span.button.bottom_docu{background-position:-56px -36px}
|
||||||
|
.ztree li span.button.noline_docu{background:none;}
|
||||||
|
|
||||||
|
.ztree li span.button.ico_open{
|
||||||
|
margin-right:2px;
|
||||||
|
background-position:-110px -16px;
|
||||||
|
vertical-align:top;
|
||||||
|
*vertical-align:middle
|
||||||
|
}
|
||||||
|
.ztree li span.button.ico_close{
|
||||||
|
margin-right:2px;
|
||||||
|
background-position:-110px 0;
|
||||||
|
vertical-align:top;
|
||||||
|
*vertical-align:middle
|
||||||
|
}
|
||||||
|
.ztree li span.button.ico_docu{
|
||||||
|
margin-right:2px;
|
||||||
|
background-position:-110px -32px;
|
||||||
|
vertical-align:top;
|
||||||
|
*vertical-align:middle
|
||||||
|
}
|
||||||
|
.ztree li span.button.edit {
|
||||||
|
margin-right:2px;
|
||||||
|
background-position:-110px -48px;
|
||||||
|
vertical-align:top;
|
||||||
|
*vertical-align:middle
|
||||||
|
}
|
||||||
|
.ztree li span.button.remove {
|
||||||
|
margin-right:2px;
|
||||||
|
background-position:-110px -64px;
|
||||||
|
vertical-align:top;
|
||||||
|
*vertical-align:middle
|
||||||
|
}
|
||||||
|
|
||||||
|
.ztree li span.button.ico_loading{
|
||||||
|
margin-right:2px;
|
||||||
|
background:url(./img/loading.gif) no-repeat scroll 0 0 transparent;
|
||||||
|
vertical-align:top;
|
||||||
|
*vertical-align:middle
|
||||||
|
}
|
||||||
|
|
||||||
|
ul.tmpTargetzTree {
|
||||||
|
background-color:#FFE6B0;
|
||||||
|
opacity:0.8;
|
||||||
|
filter:alpha(opacity=80)
|
||||||
|
}
|
||||||
|
|
||||||
|
span.tmpzTreeMove_arrow {
|
||||||
|
width:16px;
|
||||||
|
height:16px;
|
||||||
|
display: inline-block;
|
||||||
|
padding:0;
|
||||||
|
margin:2px 0 0 1px;
|
||||||
|
border:0 none;
|
||||||
|
position:absolute;
|
||||||
|
|
||||||
|
background-color:transparent;
|
||||||
|
background-repeat:no-repeat;
|
||||||
|
background-attachment: scroll;
|
||||||
|
|
||||||
|
background-position:-110px -80px;
|
||||||
|
background-image:url("./img/standard.png");
|
||||||
|
*background-image:url("./img/standard.gif")
|
||||||
|
}
|
||||||
|
|
||||||
|
.ztree li span.button.cells_ico_docu{
|
||||||
|
margin-right:2px;
|
||||||
|
background: url(./img/icon/cells.png) no-repeat scroll 0 0 transparent;
|
||||||
|
vertical-align:top; *vertical-align:middle
|
||||||
|
}
|
||||||
|
|
||||||
|
.ztree li span.button.port_ico_docu{
|
||||||
|
margin-right:2px;
|
||||||
|
background: url(./img/icon/port.png) no-repeat scroll 0 0 transparent;
|
||||||
|
vertical-align:top; *vertical-align:middle
|
||||||
|
}
|
||||||
|
|
||||||
|
.ztree li span.button.main_ico_docu{
|
||||||
|
margin-right:2px;
|
||||||
|
background: url(./img/icon/main.png) no-repeat scroll 0 0 transparent;
|
||||||
|
vertical-align:top; *vertical-align:middle
|
||||||
|
}
|
||||||
|
|
||||||
|
ul.ztree.zTreeDragUL {
|
||||||
|
margin:0;
|
||||||
|
padding:0;
|
||||||
|
position:absolute;
|
||||||
|
width:auto;
|
||||||
|
height:auto;
|
||||||
|
overflow:hidden;
|
||||||
|
background-color:#cfcfcf;
|
||||||
|
border:1px #00B83F dotted;
|
||||||
|
opacity:0.8;
|
||||||
|
filter:alpha(opacity=80)
|
||||||
|
}
|
||||||
|
.zTreeMask {
|
||||||
|
z-index:10000;
|
||||||
|
background-color:#cfcfcf;
|
||||||
|
opacity:0.0;
|
||||||
|
filter:alpha(opacity=0);
|
||||||
|
position:absolute
|
||||||
|
}
|
||||||
|
|
||||||
|
ul.ztree {
|
||||||
|
margin-top: 0px;
|
||||||
|
border: 1px solid #617775;
|
||||||
|
background: #f0f6e4;
|
||||||
|
width: 240px;
|
||||||
|
height: 650px;
|
||||||
|
position: relative;
|
||||||
|
float: left;
|
||||||
|
resize: horizontal;
|
||||||
|
overflow: scroll;
|
||||||
|
}
|
||||||
|
|
||||||
|
ul.log {
|
||||||
|
border: 1px solid #617775;
|
||||||
|
background: #f0f6e4;
|
||||||
|
width:300px;
|
||||||
|
height:170px;
|
||||||
|
overflow: hidden;
|
||||||
|
}
|
||||||
|
ul.log.small {
|
||||||
|
height:45px;
|
||||||
|
}
|
||||||
|
ul.log li {
|
||||||
|
color: #666666;
|
||||||
|
list-style: none;
|
||||||
|
padding-left: 10px;
|
||||||
|
}
|
||||||
|
ul.log li.dark {
|
||||||
|
background-color: #E3E3E3;
|
||||||
|
}
|
BIN
fonts/boxicons.woff2
Normal file
BIN
images/DIDE.png
Normal file
After Width: | Height: | Size: 81 KiB |
BIN
images/FPGAs.png
Normal file
After Width: | Height: | Size: 4.0 KiB |
BIN
images/icon.png
Normal file
After Width: | Height: | Size: 20 KiB |
1
images/icon.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="126px" height="126px" viewBox="-0.5 -0.5 126 126" content="<mxfile><diagram id="E9SxX19eNgA6MUTyjjO6" name="Icon-黑白">7VnbktsoEP0aP0YlhG5+HF/GqVSS8pZrd2f3xcVIWGJXEiqEx3K+fsFCN8sXORnNuJL1g003TQN9DtDgEZzG+YKhNPxCfRyNDN3PR3A2MgzoOOJbKvaFwjDNQhEw4hcqUCtW5BtWSl1pt8THWcuQUxpxkraVHk0S7PGWDjFGd22zDY3avaYowB3FykNRV/sn8XmotMAe1xUfMQlC1bVrqAnHqDRWM8lC5NNdQwXnIzhllPKiFOdTHMnYlXHxJ7s5fqSf7OfpbvVp7cXpYv2hcPZ4S5NqCgwn/HVdw8L1C4q2Kl5qrnxfBnAXEo5XKfKkvBMcGcFJyONISEAUUZYWsG1IjkVXkw2JoimNKDs0h8YMGnAi9Bln9N8KA0ta0oQ3LDeHT2VZ1iQ0ET1PGN0mvuyg6JV5imrAFWLPAKlAvmDGcd6ghwrYAtMYc7YXJqrWtBX2JflLedegUsmPsMGiSokUfYPKdw2RKCiUbkDMfC/EYG/ERM104oLZwwXYLH1I2FyoOVYbuYaqAZ5jaFYXvVr76vDZ1+ETXsTmKDl/K5BtwIwutBUs5wELGPIJrmFWy2+4FeZobaSgVWmaq+wETGAokJx7B2koNCzrDtFw/0ejPn/eEo08X23Th+zzxPqbzBe7cGIbf/TZwAKx6adVXDrzrXI99Fy20G8J4fm9ZHzEXb17WlsnYgWHYu74eqxkdIjIVj+jZxwtaUY4oYmoeqac01jSrsw65YHpoyw8HKZSQBEJpKknYoZZm+el2wdlw2la+Eplv3EeyFxfw5FAgUk7LaIB8dYB4jhrlEUbmmKG1KBQMtySuUi23kumjLBiADA1B9quKW4jlmFDYHf4AOGJteNqVQvLccdD0QOA6/y4OZcTGdojikkkIzClMfGEvxVKMvHzZaUMVA7mdsAER2D6eIO2ER8CsOb+BU5gAIYKuvELB708RgzNAWNj7BqmZQNTh2b3THlTTJzuie6LW7wSKeMhDWiConmtbdws9DY8OCf8Sall+S9Zlsl/Ic7yRt1s3xCWmBExIbmXFrpETO6p9CqFwpVVirWrg1T6uoUOAB6a+w/yqaNOt4XmkcggHhye30e7e/FtnMnolnn4+hHGEQvwxZNZrSKJ20UKMhyJ4+Sl/TrzI4zS7309j2QiIj+nkKxqfsK1fvfH2zsh8wahPznCIR77XhuP3rnjiWtFP4juB48hnvJ+Bjzef+c6OVpriCSlLDcyi/M5SpmPVELRyriWkByk4+zmVqq8fpJylCt8P2F65xSHpmIWaN8wSClJeNbwvJSK+k4J7KNXGFuTbLR011H8bFLrenMw1mxzDAzD1R0dOJZpHVGzGOAZb6DHUKqpFemdcnFhjMfX5rEGWzdgs+22yAY7bg+rqoKl10Lzflt8s5++muuPH5bW+vf863LzT59z6h4e/K7seN/zgNV5DB/0wU+I9b+XBXj1X8Bw/h8=</diagram><diagram id="2oZjiazD9LnPP1Vpl2Fo" name="第 2 页">5ZZdb4IwFEB/DY8m0DLQV5m6h7nEuGXPDVToVigpVXC/fkUuX+Lilui2RF+k515u29ObBgN7cbGQJI2WIqDcQGZQGPjeQAiZeKL/SrKviGU6qCKhZAGwFqzZB60TgW5ZQLNeohKCK5b2oS+ShPqqx4iUIu+nbQTvz5qSEGY0W7D2CaeDtFcWqKiiY+S2/IGyMKpnthzYcUzqZCicRSQQeQfhmYE9KYSqnuLCo7y0V3up3pt/EW0WJmmivvNCulw+b3YrwlZPycub9Tgd52oEVXaEb2HDsFi1rw1IsU0CWhaxDDzNI6boOiV+Gc31oWsWqZhDeMM49wQXUo8TkeikaaakeG/kIVuj4dLrdVCpaNFBsJUFFTFVcq9TIDqyXPAKnYVtGOftMWEMLOocUQMJtEbYFG/t6QcQ+AOZ6NdlmheS6Y57Lm2MBi4t2xm6bODFXeLzLnUVfQvQ8x5JllZXw4YVpfsji+Mj1QbC88OvyTwVuUgP20c97A572D7Rwva1OvjuBqxP/plz5/acO+YfO3dvwPngdrmidT1sP2QOsc73IJ59Ag==</diagram></mxfile>"><defs/><g><rect x="2" y="2" width="120" height="120" rx="21.6" ry="21.6" fill="#2d323b" stroke="none" pointer-events="all"/><rect x="25.75" y="25.75" width="72.5" height="72.5" rx="36.25" ry="36.25" fill="#2d323b" stroke="#cb81da" stroke-width="3" pointer-events="all"/><ellipse cx="17" cy="107" rx="7.500000000000001" ry="7.500000000000001" fill="#cb81da" stroke="#cb81da" stroke-width="2" pointer-events="all"/><ellipse cx="107" cy="107" rx="7.500000000000001" ry="7.500000000000001" fill="#cb81da" stroke="#cb81da" stroke-width="2" pointer-events="all"/><ellipse cx="107" cy="17" rx="7.500000000000001" ry="7.500000000000001" fill="#cb81da" stroke="#cb81da" stroke-width="2" pointer-events="all"/><path d="M 85.4 65.95 L 92 65.95 M 59 61.34 L 65.6 61.34 M 59 70.55 L 65.6 70.55" fill="none" stroke="#cb81da" stroke-width="2" stroke-miterlimit="10" pointer-events="none"/><path d="M 65.6 56.74 L 75.5 56.74 C 80.97 56.74 85.4 60.86 85.4 65.95 C 85.4 71.03 80.97 75.16 75.5 75.16 L 65.6 75.16 Z" fill="#cb81da" stroke="#cb81da" stroke-width="2" stroke-miterlimit="10" pointer-events="none"/><rect x="37" y="42" width="11" height="11" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" pointer-events="none"/><rect x="37" y="64.72" width="11" height="11" fill="rgb(255, 255, 255)" stroke="rgb(0, 0, 0)" pointer-events="none"/><path d="M 59 70.55 L 48.01 70.6" fill="none" stroke="#cb81da" stroke-width="2" stroke-miterlimit="10" pointer-events="none"/><rect x="37" y="64.72" width="11" height="11" fill="#000000" stroke="#000000" pointer-events="none"/><rect x="37" y="42" width="11" height="11" fill="#000000" stroke="#000000" pointer-events="none"/><rect x="37" y="42" width="11" height="11" fill="#cb81da" stroke="#cb81da" pointer-events="none"/><rect x="37" y="64.72" width="11" height="11" fill="#cb81da" stroke="#cb81da" pointer-events="none"/><path d="M 48 48.14 L 53.51 48.09 L 53.51 61.31 L 59 61.34" fill="none" stroke="#cb81da" stroke-width="2" stroke-miterlimit="10" pointer-events="none"/><ellipse cx="17" cy="17" rx="7.500000000000001" ry="7.500000000000001" fill="#cb81da" stroke="#cb81da" stroke-width="2" pointer-events="none"/></g></svg>
|
After Width: | Height: | Size: 4.5 KiB |
128
images/icons/iconfont.json
Normal file
@ -0,0 +1,128 @@
|
|||||||
|
{
|
||||||
|
"id": "3826523",
|
||||||
|
"name": "draft",
|
||||||
|
"font_family": "iconfont",
|
||||||
|
"css_prefix_text": "icon-",
|
||||||
|
"description": "",
|
||||||
|
"glyphs": [
|
||||||
|
{
|
||||||
|
"icon_id": "7569441",
|
||||||
|
"name": "天气-未知",
|
||||||
|
"font_class": "help-unknown",
|
||||||
|
"unicode": "e62a",
|
||||||
|
"unicode_decimal": 58922
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "6989868",
|
||||||
|
"name": "module-fill",
|
||||||
|
"font_class": "module-fill",
|
||||||
|
"unicode": "e622",
|
||||||
|
"unicode_decimal": 58914
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "6989870",
|
||||||
|
"name": "module",
|
||||||
|
"font_class": "module",
|
||||||
|
"unicode": "e623",
|
||||||
|
"unicode_decimal": 58915
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "1126",
|
||||||
|
"name": "文件夹",
|
||||||
|
"font_class": "wenjianjia",
|
||||||
|
"unicode": "e600",
|
||||||
|
"unicode_decimal": 58880
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "1478582",
|
||||||
|
"name": "ic_input",
|
||||||
|
"font_class": "ic_input",
|
||||||
|
"unicode": "e908",
|
||||||
|
"unicode_decimal": 59656
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "17397810",
|
||||||
|
"name": "output",
|
||||||
|
"font_class": "output",
|
||||||
|
"unicode": "e7b0",
|
||||||
|
"unicode_decimal": 59312
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "18171148",
|
||||||
|
"name": "交换,双箭头,平行",
|
||||||
|
"font_class": "exchange-full",
|
||||||
|
"unicode": "ea19",
|
||||||
|
"unicode_decimal": 59929
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "13553191",
|
||||||
|
"name": "markdown-line",
|
||||||
|
"font_class": "markdown-line",
|
||||||
|
"unicode": "ee68",
|
||||||
|
"unicode_decimal": 61032
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "10168298",
|
||||||
|
"name": "html-1",
|
||||||
|
"font_class": "html-",
|
||||||
|
"unicode": "e633",
|
||||||
|
"unicode_decimal": 58931
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "16432310",
|
||||||
|
"name": "PDF",
|
||||||
|
"font_class": "PDF",
|
||||||
|
"unicode": "e684",
|
||||||
|
"unicode_decimal": 59012
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "15378918",
|
||||||
|
"name": "v",
|
||||||
|
"font_class": "v",
|
||||||
|
"unicode": "ecf7",
|
||||||
|
"unicode_decimal": 60663
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "23306741",
|
||||||
|
"name": "chip",
|
||||||
|
"font_class": "chip1",
|
||||||
|
"unicode": "e7a8",
|
||||||
|
"unicode_decimal": 59304
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "31357424",
|
||||||
|
"name": "模块",
|
||||||
|
"font_class": "mokuai",
|
||||||
|
"unicode": "e60b",
|
||||||
|
"unicode_decimal": 58891
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "3851337",
|
||||||
|
"name": "参数",
|
||||||
|
"font_class": "canshu",
|
||||||
|
"unicode": "e655",
|
||||||
|
"unicode_decimal": 58965
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "11520228",
|
||||||
|
"name": "接口",
|
||||||
|
"font_class": "jiekou",
|
||||||
|
"unicode": "e638",
|
||||||
|
"unicode_decimal": 58936
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "24698418",
|
||||||
|
"name": "chip",
|
||||||
|
"font_class": "chip",
|
||||||
|
"unicode": "e749",
|
||||||
|
"unicode_decimal": 59209
|
||||||
|
},
|
||||||
|
{
|
||||||
|
"icon_id": "13304138",
|
||||||
|
"name": "verilog",
|
||||||
|
"font_class": "verilog",
|
||||||
|
"unicode": "e6b3",
|
||||||
|
"unicode_decimal": 59059
|
||||||
|
}
|
||||||
|
]
|
||||||
|
}
|
BIN
images/icons/iconfont.woff2
Normal file
13
images/svg/DIDE.svg
Normal file
@ -0,0 +1,13 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?>
|
||||||
|
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
|
||||||
|
<svg t="1588600619702" class="icon" viewBox="0 0 1024 1024" version="1.1"
|
||||||
|
xmlns="http://www.w3.org/2000/svg" p-id="1885" xmlns:xlink="http://www.w3.org/1999/xlink"
|
||||||
|
width="200" height="200">
|
||||||
|
<defs>
|
||||||
|
<style type="text/css"></style>
|
||||||
|
</defs>
|
||||||
|
<path d="M924 100v824h-824v-824h824m64-64H36v952h952V36z" p-id="1886"></path>
|
||||||
|
<path
|
||||||
|
d="M188 164c13.6 0 24 10.4 24 24s-10.4 24-24 24-24-10.4-24-24 10.4-24 24-24m0-24c-26.4 0-48 21.6-48 48s21.6 48 48 48 48-21.6 48-48-21.6-48-48-48zM188 812c13.6 0 24 10.4 24 24s-10.4 24-24 24-24-10.4-24-24 10.4-24 24-24m0-24c-26.4 0-48 21.6-48 48s21.6 48 48 48 48-21.6 48-48-21.6-48-48-48zM836 164c13.6 0 24 10.4 24 24s-10.4 24-24 24-24-10.4-24-24 10.4-24 24-24m0-24c-26.4 0-48 21.6-48 48s21.6 48 48 48 48-21.6 48-48-21.6-48-48-48zM836 812c13.6 0 24 10.4 24 24s-10.4 24-24 24-24-10.4-24-24 10.4-24 24-24m0-24c-26.4 0-48 21.6-48 48s21.6 48 48 48 48-21.6 48-48-21.6-48-48-48zM744 280v464H280V280h464m20.8-32H259.2c-6.4 0-11.2 4.8-11.2 11.2v506.4c0 6.4 4.8 11.2 11.2 11.2h506.4c6.4 0 11.2-4.8 11.2-11.2V259.2c-0.8-6.4-5.6-11.2-12-11.2z"
|
||||||
|
p-id="1887"></path>
|
||||||
|
</svg>
|
After Width: | Height: | Size: 1.2 KiB |
3
images/svg/README.md
Normal file
@ -0,0 +1,3 @@
|
|||||||
|
dark main color : #c5c5c5
|
||||||
|
|
||||||
|
light main color : #2c2c2c
|
1
images/svg/dark/File Error.backup.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617022345189" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="35686" data-spm-anchor-id="a313x.7781069.0.i30" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M529.066667 921.6H17.066667c-10.24 0-17.066667-6.826667-17.066667-17.066667v-614.4c0-3.413333 3.413333-10.24 3.413333-13.653333l273.066667-273.066667c3.413333 0 10.24-3.413333 13.653333-3.413333h443.733334c10.24 0 17.066667 6.826667 17.066666 17.066667v512c0 10.24-6.826667 17.066667-17.066666 17.066666s-17.066667-6.826667-17.066667-17.066666V34.133333H296.96L34.133333 296.96V887.466667h494.933334c10.24 0 17.066667 6.826667 17.066666 17.066666s-6.826667 17.066667-17.066666 17.066667z" fill="#d81e06" p-id="35687" data-spm-anchor-id="a313x.7781069.0.i31" class="selected"></path><path d="M290.133333 307.2h-273.066666C6.826667 307.2 0 300.373333 0 290.133333S6.826667 273.066667 17.066667 273.066667H273.066667V17.066667c0-10.24 6.826667-17.066667 17.066666-17.066667s17.066667 6.826667 17.066667 17.066667v273.066666c0 10.24-6.826667 17.066667-17.066667 17.066667zM802.133333 887.466667c-10.24 0-17.066667-6.826667-17.066666-17.066667v-170.666667c0-10.24 6.826667-17.066667 17.066666-17.066666s17.066667 6.826667 17.066667 17.066666v170.666667c0 10.24-6.826667 17.066667-17.066667 17.066667z" fill="#d81e06" p-id="35688" data-spm-anchor-id="a313x.7781069.0.i32" class="selected"></path><path d="M802.133333 1024c-122.88 0-221.866667-98.986667-221.866666-221.866667s98.986667-221.866667 221.866666-221.866666 221.866667 98.986667 221.866667 221.866666-98.986667 221.866667-221.866667 221.866667z m0-409.6c-102.4 0-187.733333 85.333333-187.733333 187.733333s85.333333 187.733333 187.733333 187.733334 187.733333-85.333333 187.733334-187.733334-85.333333-187.733333-187.733334-187.733333z" fill="#d81e06" p-id="35689" data-spm-anchor-id="a313x.7781069.0.i29" class="selected"></path><path d="M802.133333 955.733333c-10.24 0-17.066667-6.826667-17.066666-17.066666s6.826667-17.066667 17.066666-17.066667 17.066667 6.826667 17.066667 17.066667-6.826667 17.066667-17.066667 17.066666z" fill="#d81e06" p-id="35690" data-spm-anchor-id="a313x.7781069.0.i33" class="selected"></path></svg>
|
After Width: | Height: | Size: 2.3 KiB |
1
images/svg/dark/File Error.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671961732141" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="1213" data-darkreader-inline-fill="" width="260" height="260" xmlns:xlink="http://www.w3.org/1999/xlink"><path d="M688 312v-48c0-4.4-3.6-8-8-8H296c-4.4 0-8 3.6-8 8v48c0 4.4 3.6 8 8 8h384c4.4 0 8-3.6 8-8z m-392 88c-4.4 0-8 3.6-8 8v48c0 4.4 3.6 8 8 8h184c4.4 0 8-3.6 8-8v-48c0-4.4-3.6-8-8-8H296z m376 116c-119.3 0-216 96.7-216 216s96.7 216 216 216 216-96.7 216-216-96.7-216-216-216z m107.5 323.5C750.8 868.2 712.6 884 672 884s-78.8-15.8-107.5-44.5C535.8 810.8 520 772.6 520 732s15.8-78.8 44.5-107.5C593.2 595.8 631.4 580 672 580s78.8 15.8 107.5 44.5C808.2 653.2 824 691.4 824 732s-15.8 78.8-44.5 107.5zM640 812a32 32 0 1 0 64 0 32 32 0 1 0-64 0z m12-64h40c4.4 0 8-3.6 8-8V628c0-4.4-3.6-8-8-8h-40c-4.4 0-8 3.6-8 8v112c0 4.4 3.6 8 8 8zM440 852H208V148h560v344c0 4.4 3.6 8 8 8h56c4.4 0 8-3.6 8-8V108c0-17.7-14.3-32-32-32H168c-17.7 0-32 14.3-32 32v784c0 17.7 14.3 32 32 32h272c4.4 0 8-3.6 8-8v-56c0-4.4-3.6-8-8-8z" p-id="1214" fill="#d81e06" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#b0230f;"></path></svg>
|
After Width: | Height: | Size: 1.2 KiB |
1
images/svg/dark/LOAD.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1614784417167" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="6029" data-spm-anchor-id="a313x.7781069.0.i11" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M575.63 612.3h-83.67V259.52h56.21c14.63 0 26.5 11.84 26.54 26.46l0.92 326.32z" fill="#1296db" p-id="6030" data-spm-anchor-id="a313x.7781069.0.i9" class=""></path><path d="M584.14 734.39H282.92c-15.4 0-27.92-12.53-27.92-27.92V497.22c0-3.87 3.13-7 7-7s7 3.13 7 7v209.24c0 7.68 6.25 13.92 13.92 13.92h301.22c3.87 0 7 3.13 7 7s-3.13 7.01-7 7.01z" p-id="6031" data-spm-anchor-id="a313x.7781069.0.i10" class="selected" fill="#ffffff"></path><path d="M524.42 708.57c-1.64 0-3.28-0.57-4.6-1.72L366 572.95c-2.2-1.92-2.98-5-1.96-7.73a7.011 7.011 0 0 1 6.56-4.55h97.15c3.87 0 7 3.13 7 7s-3.13 7-7 7h-78.44l135.11 117.62 135.11-117.62h-79.31c-3.87 0-7-3.13-7-7s3.13-7 7-7h98.02c2.92 0 5.53 1.81 6.56 4.55s0.24 5.82-1.96 7.73l-153.81 133.9a7.079 7.079 0 0 1-4.61 1.72z" p-id="6032" data-spm-anchor-id="a313x.7781069.0.i13" class="selected" fill="#ffffff"></path><path d="M581.09 571.13c-3.87 0-7-3.13-7-7V303.37c0-22.7-18.47-41.17-41.17-41.17h-17c-22.7 0-41.17 18.47-41.17 41.17v28.15c0 3.87-3.13 7-7 7s-7-3.13-7-7v-28.15c0-30.42 24.75-55.17 55.17-55.17h17c30.42 0 55.17 24.75 55.17 55.17v260.76c0 3.87-3.13 7-7 7zM467.75 433.52c-3.87 0-7-3.13-7-7v-52c0-3.87 3.13-7 7-7s7 3.13 7 7v52c0 3.87-3.13 7-7 7zM467.88 574.66c-3.63 0-6.7-2.81-6.97-6.49-0.1-1.34-0.15-2.69-0.15-4.04v-93.61c0-3.87 3.13-7 7-7s7 3.13 7 7v93.61c0 1 0.04 2.02 0.11 3.02a6.992 6.992 0 0 1-6.47 7.49c-0.18 0.02-0.35 0.02-0.52 0.02z" p-id="6033" data-spm-anchor-id="a313x.7781069.0.i12" class="selected" fill="#ffffff"></path><path d="M688.11 775.8c-44.6 0-80.89-36.29-80.89-80.89s36.29-80.89 80.89-80.89S769 650.31 769 694.91s-36.29 80.89-80.89 80.89z m0-147.78c-36.88 0-66.89 30.01-66.89 66.89s30.01 66.89 66.89 66.89c36.88 0 66.89-30.01 66.89-66.89s-30.01-66.89-66.89-66.89z" p-id="6034" data-spm-anchor-id="a313x.7781069.0.i14" class="selected" fill="#ffffff"></path><path d="M681 724.58c-1.46 0-2.93-0.46-4.19-1.39l-38.01-28.43a6.994 6.994 0 0 1-1.41-9.8c2.32-3.09 6.7-3.73 9.8-1.41l32.66 24.42 39.26-47.83c2.45-2.99 6.86-3.42 9.85-0.97 2.99 2.45 3.42 6.86 0.97 9.85l-43.5 53a7.036 7.036 0 0 1-5.43 2.56z" p-id="6035" data-spm-anchor-id="a313x.7781069.0.i15" class="selected" fill="#ffffff"></path><path d="M526.01 693.17l134.62-119.79h-271z" fill="#1296db" p-id="6036" data-spm-anchor-id="a313x.7781069.0.i8" class=""></path></svg>
|
After Width: | Height: | Size: 2.6 KiB |
1
images/svg/dark/Link.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588752312821" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="7309" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M832.256 128.064c35.328 0 64 28.736 64 64v639.68a64 64 0 0 1-64 64h-640c-35.264 0-64-28.672-64-64V192.064c0-35.264 28.736-64 64-64h640m0-64h-640c-70.4 0-128 57.6-128 128v639.68c0 70.4 57.6 128 128 128h640c70.4 0 128-57.6 128-128V192.064c0-70.4-57.6-128-128-128z" p-id="7310"></path><path d="M287.552 670.912m-96 0a96 96 0 1 0 192 0 96 96 0 1 0-192 0Z" p-id="7311"></path><path d="M416.64 349.504m-96 0a96 96 0 1 0 192 0 96 96 0 1 0-192 0Z" p-id="7312"></path><path d="M611.328 670.848m-96 0a96 96 0 1 0 192 0 96 96 0 1 0-192 0Z" p-id="7313"></path><path d="M735.744 349.504m-96 0a96 96 0 1 0 192 0 96 96 0 1 0-192 0Z" p-id="7314"></path><path d="M376.896 373.568l59.712 23.04-91.968 238.784-59.712-22.976zM693.76 389.248l58.624 25.856-103.168 234.24-58.624-25.856z" p-id="7315"></path><path d="M598.08 595.328l-55.936 31.232-124.736-223.488 55.936-31.232z" p-id="7316"></path></svg>
|
After Width: | Height: | Size: 1.2 KiB |
1
images/svg/dark/SerialPort.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1589782143850" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="2308" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M512 0a512 512 0 1 0 512 512A512 512 0 0 0 512 0z m-34.5088 992.0512v-199.2704h69.0176v199.2704c-11.4176 0.8192-22.8864 1.2288-34.5088 1.2288s-23.0912-0.4608-34.5088-1.2288zM273.8688 431.2064h19.1488v226.9696h-19.1488z m-30.72-30.72h-10.8544v-19.1488h559.4112v19.1488H243.1488zM629.76 350.6176H394.24V281.6h235.52z m101.376 80.5888h19.1488v226.9696h-19.1488z m-362.496 0h286.72v197.1712l-65.792 133.6832H434.2784L368.64 628.3776z m208.7424 557.6192v-196.0448h31.5904L686.08 635.5456V431.2064h14.0288v257.6896h80.5888V431.2064h41.5744V350.6176H757.76v-70.656h-34.8672v70.656H660.48V250.88H363.52v99.7376H300.8512v-70.656H266.24v70.656H201.5744v80.5888h41.5744v257.6896h80.5888V431.2064H337.92v204.3392l77.4144 157.2352h31.5904v196.0448a481.28 481.28 0 1 1 130.4576 0z" p-id="2309" fill="#dbdbdb"></path></svg>
|
After Width: | Height: | Size: 1.2 KiB |
1
images/svg/dark/add-cloud.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1631199725967" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="14067" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M768 785.066667H213.333333c-39.816533 0-74.308267-14.318933-102.519466-42.5472C82.5856 714.308267 68.266667 679.799467 68.266667 640s14.318933-74.308267 42.5472-102.519467C139.025067 509.252267 173.5168 494.933333 213.333333 494.933333c8.942933 0 17.6128 0.7168 25.9584 2.116267 3.3792-68.625067 30.1568-128.443733 79.7184-178.0224C372.1728 265.8816 437.0944 238.933333 512 238.933333c74.922667 0 139.8272 26.948267 192.9728 80.093867 26.88 26.897067 47.3088 57.326933 60.859733 90.589867L768 409.6c51.6096 0 96.4096 18.295467 133.137067 54.391467C937.437867 500.923733 955.733333 545.723733 955.733333 597.333333c0 51.677867-18.3296 96.221867-54.493866 132.386134C864.529067 766.446933 819.694933 785.066667 768 785.066667zM213.333333 529.066667c-30.856533 0-56.490667 10.6496-78.370133 32.546133C113.0496 583.509333 102.4 609.143467 102.4 640s10.6496 56.490667 32.546133 78.3872S182.4768 750.933333 213.333333 750.933333H768c42.922667 0 78.592-14.830933 109.1072-45.346133C907.042133 675.6352 921.6 640.238933 921.6 597.333333c0-42.9568-14.574933-78.677333-44.5952-109.2096C846.660267 458.325333 810.9568 443.733333 768 443.733333a97.28 97.28 0 0 0-11.5712 0.7168 17.134933 17.134933 0 0 1-18.193067-11.3152c-11.605333-33.194667-30.907733-63.470933-57.3952-89.975466C633.668267 295.9872 578.4576 273.066667 512 273.066667s-121.668267 22.920533-168.840533 70.0928S273.066667 445.5424 273.066667 512v6.826667a17.066667 17.066667 0 0 1-22.6816 16.128A112.247467 112.247467 0 0 0 213.333333 529.066667z" p-id="14068" fill="#ffffff"></path><path d="M515.413333 671.573333a34.133333 34.133333 0 0 1-34.133333-34.133333v-51.2h-51.2a34.133333 34.133333 0 0 1 0-68.266667h51.2v-51.2a34.133333 34.133333 0 0 1 68.266667 0v51.2h51.2a34.133333 34.133333 0 0 1 0 68.266667h-51.2v51.2a34.133333 34.133333 0 0 1-34.133334 34.133333z" p-id="14069" fill="#ffffff"></path></svg>
|
After Width: | Height: | Size: 2.2 KiB |
1
images/svg/dark/add-file.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1631199366787" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="7526" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M697.6 540.032H544v-153.6c0-2.432-2.88-4.48-6.4-4.48h-51.2c-3.52 0-6.4 2.048-6.4 4.48v153.6H326.4c-2.432 0-4.416 2.816-4.416 6.4v51.2c0 3.52 2.048 6.4 4.48 6.4H480v153.6c0 2.368 2.88 4.352 6.4 4.352h51.2c3.52 0 6.4-1.984 6.4-4.416v-153.6h153.6c2.432 0 4.416-2.816 4.416-6.4v-51.2c0-3.52-1.984-6.4-4.48-6.4z m152.128-261.952L657.472 92.16l-1.28-1.088a68.032 68.032 0 0 0-44.928-17.024H217.984c-37.504 0-67.968 30.464-67.968 68.032V881.92c0 37.568 30.464 67.968 67.968 68.032h585.984c37.568 0 67.968-30.464 68.032-68.032V328.448c0-19.2-8.064-37.44-22.272-50.368z m-50.56 51.328L634.24 329.088V169.856l164.864 159.552z m-577.152 548.608V145.92H570.24v183.04c0 35.328 28.544 63.936 63.872 64l165.824 0.384v484.608H222.016z" p-id="7527" fill="#ffffff"></path></svg>
|
After Width: | Height: | Size: 1.1 KiB |
1
images/svg/dark/add.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1673361802664" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="12062" data-darkreader-inline-fill="" width="20" height="20" xmlns:xlink="http://www.w3.org/1999/xlink"><path d="M541.376 96l0.128 385.28H896v446.72H453.632V573.376H96V96h445.376z m265.344 477.632H542.912v262.016h263.808V573.632z m-354.624-385.28H185.28v292.736h266.816V188.352z m243.712-44.608c77.056 0.64 139.392 65.408 139.392 145.216v31.36h50.112L789.76 452.352h-43.84V288.96c0-28.8-22.4-52.224-50.112-52.736H603.712V143.808h92.16z" fill="#8a8a8a" p-id="12063" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#b5aa97;"></path></svg>
|
After Width: | Height: | Size: 801 B |
1
images/svg/dark/bd.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg t="1700403751622" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="4863" width="200" height="200"><path d="M64 764.330667a85.333333 85.333333 0 0 1 0-120.661334L643.669333 64a85.333333 85.333333 0 0 1 120.661334 0L938.666667 238.336a85.333333 85.333333 0 0 1 0 120.661333L358.997333 938.666667a85.333333 85.333333 0 0 1-120.661333 0L64 764.330667z m640-640L124.330667 704 298.666667 878.336 878.336 298.666667 704 124.330667zM392.832 222.165333a42.666667 42.666667 0 0 0 0-60.330666L294.997333 64a85.333333 85.333333 0 0 0-120.661333 0L64 174.336a85.333333 85.333333 0 0 0 0 120.661333l97.834667 97.834667a42.666667 42.666667 0 0 0 60.330666-60.330667L124.330667 234.666667 234.666667 124.330667l97.834666 97.834666a42.666667 42.666667 0 0 0 60.330667 0z m387.669333 448l94.165334 94.165334V874.666667h-110.336l-94.165334-94.165334a42.666667 42.666667 0 0 0-60.330666 60.330667l94.165333 94.165333a85.333333 85.333333 0 0 0 60.330667 25.002667H874.666667a85.333333 85.333333 0 0 0 85.333333-85.333333v-110.336a85.333333 85.333333 0 0 0-25.002667-60.330667l-94.165333-94.165333a42.666667 42.666667 0 0 0-60.330667 60.330666z" fill="#d4237a" p-id="4864"></path><path d="M210.304 512a42.666667 42.666667 0 0 1 60.330667 0l60.330666 60.330667a42.666667 42.666667 0 0 1-60.330666 60.373333L210.346667 572.288a42.666667 42.666667 0 0 1 0-60.330667zM361.130667 361.130667a42.666667 42.666667 0 0 1 60.373333 0l60.330667 60.373333a42.666667 42.666667 0 0 1-60.330667 60.330667L361.130667 421.504a42.666667 42.666667 0 0 1 0-60.373333zM512 210.304a42.666667 42.666667 0 0 1 60.330667 0l60.330666 60.330667a42.666667 42.666667 0 0 1-60.330666 60.330666L512 270.634667a42.666667 42.666667 0 0 1 0-60.330667z" fill="#d4237a" p-id="4865"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/dark/bin.svg
Normal file
After Width: | Height: | Size: 8.6 KiB |
1
images/svg/dark/bit.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1614818130537" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="11253" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M512 1024C229.248 1024 0 794.752 0 512S229.248 0 512 0s512 229.248 512 512-229.248 512-512 512z m-48.810667-466.346667c0-55.125333-32.554667-86.144-79.274666-86.144-14.549333 0-27.178667 3.072-36.778667 9.557334V407.552c0-13.781333-8.405333-20.693333-25.642667-20.693333s-25.642667 6.912-25.642666 20.693333v193.408c0 13.013333 0 25.642667 17.621333 35.626667 15.317333 8.789333 35.242667 13.013333 56.661333 13.013333 59.733333 0 93.056-36.010667 93.056-91.904z m-116.053333 44.8v-81.962666a39.381333 39.381333 0 0 1 24.106667-7.68c23.722667 0 39.424 17.621333 39.424 48.256 0 30.250667-15.317333 47.104-40.192 47.104-8.789333 0-16.853333-1.92-23.381334-5.76z m211.968-181.504c0-17.621333-12.629333-29.482667-30.250667-29.482666s-30.250667 11.861333-30.250666 29.482666c0 17.621333 12.629333 29.866667 30.250666 29.866667s30.250667-12.245333 30.250667-29.866667z m-4.608 71.210667c0-13.781333-8.405333-20.693333-25.642667-20.693333s-25.642667 6.912-25.642666 20.693333v135.936c0 13.781333 8.405333 20.693333 25.642666 20.693333s25.642667-6.912 25.642667-20.693333v-135.936z m155.306667 110.677333c-3.072 0-5.76 0.768-8.789334 1.536-3.456 0.768-7.68 1.92-11.861333 1.92-6.528 0-11.477333-2.304-14.165333-7.68-3.456-6.528-3.456-16.469333-3.456-23.381333v-57.429333h32.170666c13.781333 0 20.693333-6.912 20.693334-21.461334s-6.912-21.461333-20.693334-21.461333h-32.170666v-33.706667c0-13.781333-8.405333-20.693333-25.642667-20.693333s-25.642667 6.912-25.642667 20.693333v33.706667h-13.397333c-13.781333 0-20.693333 6.912-20.693333 21.461333s6.912 21.461333 20.693333 21.461334h13.397333v57.429333c0 17.621333 0.768 34.090667 8.405334 47.872 8.405333 15.317333 24.874667 26.410667 52.48 26.410667 14.933333 0 29.482667-3.456 37.930666-8.405334 7.296-4.224 9.173333-9.557333 9.173334-14.933333 0-8.405333-4.224-23.381333-18.389334-23.381333z" p-id="11254" data-spm-anchor-id="a313x.7781069.0.i15" class="selected" fill="#1296db"></path></svg>
|
After Width: | Height: | Size: 2.3 KiB |
1
images/svg/dark/boot.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588905047953" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="12526" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M512.283 964.685c-229.223 0-415.712-186.489-415.712-415.712 0-163.072 96.27-311.757 245.275-378.794 16.511-7.439 35.904-0.075 43.354 16.458 7.428 16.511 0.064 35.915-16.458 43.354-125.503 56.46-206.597 181.665-206.597 318.983 0 193.063 157.074 350.137 350.137 350.137s350.137-157.074 350.137-350.137c0-137.201-81.008-262.374-206.372-318.887-16.511-7.45-23.865-26.864-16.426-43.364 7.45-16.522 26.875-23.833 43.364-16.426 148.835 67.09 245.009 215.733 245.009 378.677 0.002 229.222-186.487 415.711-415.711 415.711z" p-id="12527" fill="#dbdbdb"></path><path d="M565.242 493.987c0 29.244-23.714 52.947-52.958 52.947s-52.938-23.703-52.938-52.947V117.4c0-29.242 23.694-52.947 52.938-52.947 29.244 0 52.958 23.705 52.958 52.947v376.587z" p-id="12528" fill="#dbdbdb"></path></svg>
|
After Width: | Height: | Size: 1.1 KiB |
1
images/svg/dark/branch.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588929637376" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="20434" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M833.6384 111.4112C833.6384 52.736 786.0224 5.12 727.3472 5.12S621.056 52.736 621.056 111.4112c0 53.248 39.2192 97.28 90.3168 104.96v82.8416c0 13.9264-6.0416 27.0336-16.6912 36.0448L314.9824 621.6704V216.4736c51.0976-7.7824 90.3168-51.712 90.3168-104.96C405.2992 52.736 357.6832 5.12 299.008 5.12S192.7168 52.736 192.7168 111.4112c0 53.248 39.2192 97.28 90.3168 104.96v591.1552c-51.0976 7.7824-90.3168 51.712-90.3168 104.96 0 58.6752 47.616 106.2912 106.2912 106.2912s106.2912-47.616 106.2912-106.2912c0-53.248-39.2192-97.28-90.3168-104.96V661.8112l399.2576-301.1584 0.6144-0.512c18.0224-15.1552 28.4672-37.2736 28.4672-60.928v-82.8416c51.0976-7.68 90.3168-51.712 90.3168-104.96z" p-id="20435" fill="#dbdbdb"></path></svg>
|
After Width: | Height: | Size: 1.1 KiB |
1
images/svg/dark/celllib.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617022074131" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="30987" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M800 944c0 8.8-7.2 16-16 16H240c-8.8 0-16-7.2-16-16V80c0-8.8 7.2-16 16-16h544c8.8 0 16 7.2 16 16v864zM224 0c-35.3 0-64 28.7-64 64v896c0 35.3 28.7 64 64 64h576c35.3 0 64-28.7 64-64V64c0-35.3-28.7-64-64-64H224zM144 100v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 276v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 452v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 628v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 804v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM1024 128v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V100c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 304v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V276c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 480v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V452c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 656v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V628c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 832v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V804c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64z" p-id="30988" data-spm-anchor-id="a313x.7781069.0.i27" class="selected" fill="#ffffff"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/dark/cells.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617022074131" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="30987" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M800 944c0 8.8-7.2 16-16 16H240c-8.8 0-16-7.2-16-16V80c0-8.8 7.2-16 16-16h544c8.8 0 16 7.2 16 16v864zM224 0c-35.3 0-64 28.7-64 64v896c0 35.3 28.7 64 64 64h576c35.3 0 64-28.7 64-64V64c0-35.3-28.7-64-64-64H224zM144 100v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 276v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 452v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 628v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 804v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM1024 128v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V100c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 304v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V276c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 480v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V452c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 656v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V628c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 832v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V804c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64z" p-id="30988" data-spm-anchor-id="a313x.7781069.0.i27" class="selected" fill="#ffffff"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/dark/clean.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588861195341" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="4443" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M609.3 119.6H415.7c-15.5 0-28.1-12.6-28.1-28.1s12.6-28.1 28.1-28.1h193.6c15.5 0 28.1 12.6 28.1 28.1s-12.6 28.1-28.1 28.1z" fill="#dbdbdb" p-id="4444"></path><path d="M933.4 265H91.6c-15.5 0-28.1-12.6-28.1-28.1s12.6-28.1 28.1-28.1h841.9c15.5 0 28.1 12.6 28.1 28.1S948.9 265 933.4 265z" fill="#dbdbdb" p-id="4445"></path><path d="M665.3 963.6H359.7c-67 0-121.4-55.8-121.4-124.4l-56-584.7c-1.5-15.4 9.8-29.1 25.3-30.6 15.4-1.5 29.1 9.8 30.6 25.3l56.1 587.4c0.1 40.3 29.4 70.9 65.4 70.9h305.7c36 0 65.3-30.6 65.3-68.3L787 249.1c1.5-15.4 14.9-26.6 30.6-25.3 15.4 1.5 26.7 15.2 25.3 30.6l-56.1 587.4c0 66.1-54.5 121.8-121.5 121.8z" fill="#dbdbdb" p-id="4446"></path><path d="M430.5 815.4c-14.6 0-27-11.3-28-26.2l-28.1-417.5c-1-15.5 10.6-28.8 26.1-29.9 15.1-1.2 28.8 10.7 29.9 26.1l28.1 417.5c1 15.5-10.6 28.9-26.1 29.9-0.6 0.1-1.2 0.1-1.9 0.1z" fill="#dbdbdb" p-id="4447"></path><path d="M590.1 815.4c-0.6 0-1.3 0-1.9-0.1-15.5-1-27.2-14.4-26.1-29.9l28-417.4c1.1-15.5 14-27.4 29.9-26.1 15.5 1 27.2 14.4 26.1 29.9L618 789.3c-1 14.8-13.3 26.1-27.9 26.1z" fill="#dbdbdb" p-id="4448"></path></svg>
|
After Width: | Height: | Size: 1.4 KiB |
1
images/svg/dark/cmd.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588607429064" class="icon" viewBox="0 0 1170 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="3876" xmlns:xlink="http://www.w3.org/1999/xlink" width="228.515625" height="200"><defs><style type="text/css"></style></defs><path d="M0 73.142857v877.714286a73.142857 73.142857 0 0 0 73.142857 73.142857h1024a73.142857 73.142857 0 0 0 73.142857-73.142857V73.142857a73.142857 73.142857 0 0 0-73.142857-73.142857H73.142857a73.142857 73.142857 0 0 0-73.142857 73.142857z m1104.969143 886.857143H65.316571V64h1039.652572v896zM453.632 512l-207.725714-203.629714a31.744 31.744 0 0 1 0-45.348572 32.987429 32.987429 0 0 1 46.299428 0l200.557715 196.754286a73.142857 73.142857 0 0 1 0 104.448l-177.737143 174.08a65.828571 65.828571 0 0 1-92.16 0L453.558857 512z m461.824 213.211429H587.629714a31.963429 31.963429 0 1 1 0-64h327.826286a31.963429 31.963429 0 1 1 0 64z" fill="#C5C5C5" p-id="3877"></path></svg>
|
After Width: | Height: | Size: 1.0 KiB |
1
images/svg/dark/current-sim-top.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1673280167348" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="8326" data-darkreader-inline-fill="" width="500" height="500" xmlns:xlink="http://www.w3.org/1999/xlink"><path d="M857.7 732.7l-1.8-20.4-1.1-11.2c-25.7-129-63.9-162.4-93.5-209.5l-1.8-2.1 0.1-12.7-0.2-13.7c-1.1-50-8-97.1-20.9-141.4-39.7-106.9-103.7-139.9-196.4-185.4-19.9-9.8-43.1-9.9-63.1-0.3l-7.9 4-7.9 4.2c-83.5 45.7-138.2 74.2-176.9 174.1l-2.9 11.1-2.9 12.4c-8.3 37.3-12.5 86.8-12.6 128.4v7.8l-1.9 1.5-3.2 2.7c-40.6 42.8-76.3 90.2-96.6 249.6l-0.1 2.6 0.2 2.7c2.1 21 25.3 34.6 45 24.5l150-65.6 4.6 1c46.2 9.4 103.1 14.3 150.2 14.7l15.7-0.1 15.8-0.4c31.7-1.1 73.2-4.3 104.4-9.6l5-0.9 156.1 61.1 2.7 1.3c20.8 8.7 43.8-7.4 41.9-30.4z m-182.2-91l-2.7-1.3c-5.5-2.2-11.6-2.9-17.5-1.8-42.8 8.1-96.2 12.1-139.7 11.8-48-0.4-105.9-6-152.7-16.5l-3-0.5-3-0.2c-5.1-0.1-10.1 1-14.6 3.3l-109.9 45 1.6-14.9c29-107.5 60.8-128.8 76.4-142.7l4.8-2.4c9.6-5.6 15.3-16 14.8-27.1-2-53.9 3.4-113.6 15.9-158.9 31-82.6 81.8-103.5 154.1-140.7l7.2-3.6 1.6-0.6c1.8-0.4 3.6-0.4 5.3 0.1l1.7 0.7 7.3 3.7c72.3 37.8 123.6 56.1 156.5 143 14.3 49.2 20.4 102.9 18.1 161.2-0.3 8.9 3.3 17.6 9.8 23.7l2.1 2.1 2.3 2.5c8.4 12.5 51.3 44.7 77.8 144.1l1.2 9.9-115.4-39.9z" fill="#f4ea00" p-id="8327" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#dbcf19;"></path><path d="M510.5 322.9c-64.9 0-117.6 52.4-117.6 117.1s52.6 117.1 117.6 117.1c64.9 0 117.6-52.4 117.6-117.1s-52.7-117.1-117.6-117.1zM563.9 440c-0.1 29.5-24 53.3-53.5 53.2-29.5 0.1-53.4-23.8-53.4-53.2 0.1-29.5 24-53.3 53.5-53.2 29.5-0.1 53.4 23.8 53.4 53.2z" fill="#f4ea00" p-id="8328" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#dbcf19;"></path><path d="M518.2 895.1c-16.8 0-43.8-14.6-61.7-29-30.5-24.5-49.1-56.5-53.9-92.8-2.3-17.5 10-33.6 27.5-35.9 17.5-2.3 33.6 10 35.9 27.5 2.4 18.4 11.5 34.6 27 48.2 9.7 8.5 19.4 13.8 25.3 16.4 5.7-2.5 14.8-7.4 24.1-15.5 15.5-13.5 25-30.4 28.1-50 2.8-17.5 19.2-29.4 36.6-26.6 17.5 2.8 29.4 19.2 26.6 36.6-7.4 46.7-34 76.4-55.1 93.1-20.3 16.3-45.8 28-60.4 28z m5.1-63.5z m-10.2 0z" fill="#f4ea00" p-id="8329" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#dbcf19;"></path></svg>
|
After Width: | Height: | Size: 2.3 KiB |
1
images/svg/dark/current-src-top.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1673280167348" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="8326" data-darkreader-inline-fill="" width="500" height="500" xmlns:xlink="http://www.w3.org/1999/xlink"><path d="M857.7 732.7l-1.8-20.4-1.1-11.2c-25.7-129-63.9-162.4-93.5-209.5l-1.8-2.1 0.1-12.7-0.2-13.7c-1.1-50-8-97.1-20.9-141.4-39.7-106.9-103.7-139.9-196.4-185.4-19.9-9.8-43.1-9.9-63.1-0.3l-7.9 4-7.9 4.2c-83.5 45.7-138.2 74.2-176.9 174.1l-2.9 11.1-2.9 12.4c-8.3 37.3-12.5 86.8-12.6 128.4v7.8l-1.9 1.5-3.2 2.7c-40.6 42.8-76.3 90.2-96.6 249.6l-0.1 2.6 0.2 2.7c2.1 21 25.3 34.6 45 24.5l150-65.6 4.6 1c46.2 9.4 103.1 14.3 150.2 14.7l15.7-0.1 15.8-0.4c31.7-1.1 73.2-4.3 104.4-9.6l5-0.9 156.1 61.1 2.7 1.3c20.8 8.7 43.8-7.4 41.9-30.4z m-182.2-91l-2.7-1.3c-5.5-2.2-11.6-2.9-17.5-1.8-42.8 8.1-96.2 12.1-139.7 11.8-48-0.4-105.9-6-152.7-16.5l-3-0.5-3-0.2c-5.1-0.1-10.1 1-14.6 3.3l-109.9 45 1.6-14.9c29-107.5 60.8-128.8 76.4-142.7l4.8-2.4c9.6-5.6 15.3-16 14.8-27.1-2-53.9 3.4-113.6 15.9-158.9 31-82.6 81.8-103.5 154.1-140.7l7.2-3.6 1.6-0.6c1.8-0.4 3.6-0.4 5.3 0.1l1.7 0.7 7.3 3.7c72.3 37.8 123.6 56.1 156.5 143 14.3 49.2 20.4 102.9 18.1 161.2-0.3 8.9 3.3 17.6 9.8 23.7l2.1 2.1 2.3 2.5c8.4 12.5 51.3 44.7 77.8 144.1l1.2 9.9-115.4-39.9z" fill="#1afa29" p-id="8327" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#1ec649;"></path><path d="M510.5 322.9c-64.9 0-117.6 52.4-117.6 117.1s52.6 117.1 117.6 117.1c64.9 0 117.6-52.4 117.6-117.1s-52.7-117.1-117.6-117.1zM563.9 440c-0.1 29.5-24 53.3-53.5 53.2-29.5 0.1-53.4-23.8-53.4-53.2 0.1-29.5 24-53.3 53.5-53.2 29.5-0.1 53.4 23.8 53.4 53.2z" fill="#1afa29" p-id="8328" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#1ec649;"></path><path d="M518.2 895.1c-16.8 0-43.8-14.6-61.7-29-30.5-24.5-49.1-56.5-53.9-92.8-2.3-17.5 10-33.6 27.5-35.9 17.5-2.3 33.6 10 35.9 27.5 2.4 18.4 11.5 34.6 27 48.2 9.7 8.5 19.4 13.8 25.3 16.4 5.7-2.5 14.8-7.4 24.1-15.5 15.5-13.5 25-30.4 28.1-50 2.8-17.5 19.2-29.4 36.6-26.6 17.5 2.8 29.4 19.2 26.6 36.6-7.4 46.7-34 76.4-55.1 93.1-20.3 16.3-45.8 28-60.4 28z m5.1-63.5z m-10.2 0z" fill="#1afa29" p-id="8329" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#1ec649;"></path></svg>
|
After Width: | Height: | Size: 2.3 KiB |
1
images/svg/dark/currentSimTop.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617021779982" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="13735" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M276.6 685.1h-107c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4h-107c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM570 685.1H463c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H463c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM855 685.1H748c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H748c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM214.8 635.1c16 0 28.9-12.9 28.9-28.9v-53h239.9v49.4c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-49.4h238.5v53c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-81.9c0-16-12.9-28.9-28.9-28.9H541.3v-63.8h99.3c39.8 0 72.2-32.4 72.2-72.2V169.6c0-39.8-32.4-72.2-72.2-72.2H384.2c-39.8 0-72.2 32.4-72.2 72.2v189.8c0 39.8 32.4 72.2 72.2 72.2h99.3v63.8H214.8c-16 0-28.9 12.9-28.9 28.9v81.9c0 15.9 12.9 28.9 28.9 28.9z m155-275.7V169.6c0-7.8 6.6-14.4 14.4-14.4h256.4c7.8 0 14.4 6.6 14.4 14.4v189.8c0 7.8-6.6 14.4-14.4 14.4H384.2c-7.8 0-14.4-6.6-14.4-14.4z" p-id="13736" data-spm-anchor-id="a313x.7781069.0.i23" class="selected" fill="#FFFF00"></path></svg>
|
After Width: | Height: | Size: 1.8 KiB |
1
images/svg/dark/currentSrcTop.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617021779982" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="13735" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M276.6 685.1h-107c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4h-107c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM570 685.1H463c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H463c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM855 685.1H748c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H748c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM214.8 635.1c16 0 28.9-12.9 28.9-28.9v-53h239.9v49.4c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-49.4h238.5v53c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-81.9c0-16-12.9-28.9-28.9-28.9H541.3v-63.8h99.3c39.8 0 72.2-32.4 72.2-72.2V169.6c0-39.8-32.4-72.2-72.2-72.2H384.2c-39.8 0-72.2 32.4-72.2 72.2v189.8c0 39.8 32.4 72.2 72.2 72.2h99.3v63.8H214.8c-16 0-28.9 12.9-28.9 28.9v81.9c0 15.9 12.9 28.9 28.9 28.9z m155-275.7V169.6c0-7.8 6.6-14.4 14.4-14.4h256.4c7.8 0 14.4 6.6 14.4 14.4v189.8c0 7.8-6.6 14.4-14.4 14.4H384.2c-7.8 0-14.4-6.6-14.4-14.4z" p-id="13736" data-spm-anchor-id="a313x.7781069.0.i23" class="selected" fill="#33FF33"></path></svg>
|
After Width: | Height: | Size: 1.8 KiB |
5
images/svg/dark/debug.svg
Normal file
@ -0,0 +1,5 @@
|
|||||||
|
<svg width="24" height="24" viewBox="0 0 24 24" fill="none" xmlns="http://www.w3.org/2000/svg">
|
||||||
|
<path d="M3.46279 12.86L3.45815 12.79C3.45964 12.8134 3.46119 12.8367 3.46279 12.86Z" fill="#C5C5C5"/>
|
||||||
|
<path d="M10.7275 13.5509L7.69304 10.501L8.70723 9.4868L11.9159 12.7117L15.0789 9.54875L16.0931 10.5629L13.0589 13.5972L16.0934 16.647L15.0792 17.6612L11.8705 14.4362L8.70748 17.5993L7.69329 16.5851L10.7275 13.5509Z" fill="#C5C5C5"/>
|
||||||
|
<path fill-rule="evenodd" clip-rule="evenodd" d="M16.9329 5.00286V6H18.2784L21.1205 3.15788L22.1347 4.17207L19.4435 6.86321L19.476 6.94805C20.0424 8.42597 20.3614 10.094 20.3614 11.86C20.3614 12.1955 20.3499 12.5274 20.3274 12.8552L20.3222 12.93H23.8629V14.3643H20.142L20.1315 14.4217C19.8292 16.075 19.2409 17.5825 18.4398 18.851L18.3802 18.9454L21.8027 22.3852L20.7859 23.3968L17.512 20.1063L17.4131 20.2169C15.934 21.8712 14.0177 22.8629 11.93 22.8629C9.81001 22.8629 7.86653 21.8402 6.37842 20.1395L6.27988 20.0268L3.07125 23.2355L2.05706 22.2213L5.42258 18.8558L5.36431 18.7615C4.59172 17.5118 4.02373 16.0363 3.72847 14.4217L3.71797 14.3643H0V12.93H3.53777L3.53262 12.8552C3.51009 12.5274 3.49858 12.1955 3.49858 11.86C3.49858 10.117 3.80935 8.46951 4.36194 7.00599L4.39377 6.92168L1.63228 4.14621L2.64904 3.13457L5.50003 6H6.92715V5.00286C6.92715 2.23986 9.16701 0 11.93 0C14.693 0 16.9329 2.23986 16.9329 5.00286ZM8.36144 5.00286V6H15.4986V5.00286C15.4986 3.03199 13.9009 1.43429 11.93 1.43429C9.95914 1.43429 8.36144 3.03199 8.36144 5.00286ZM18.1609 7.52498L18.1267 7.43429H5.73328L5.69915 7.52498C5.21331 8.81605 4.93286 10.2859 4.93286 11.86C4.93286 14.6199 5.7951 17.061 7.11691 18.7793C8.43755 20.4962 10.1529 21.4286 11.93 21.4286C13.7072 21.4286 15.4225 20.4962 16.7431 18.7793C18.0649 17.061 18.9271 14.6199 18.9271 11.86C18.9271 10.2859 18.6467 8.81605 18.1609 7.52498Z" fill="#C5C5C5"/>
|
||||||
|
</svg>
|
After Width: | Height: | Size: 1.8 KiB |
1
images/svg/dark/delete.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1631199576224" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="10819" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M810.325333 372.608a30.976 30.976 0 0 1 27.861334 33.706667c-0.213333 2.816-22.784 289.749333-35.84 410.112-7.978667 74.24-55.893333 119.338667-128 120.661333-54.869333 1.024-68.181333 1.578667-123.050667 1.578667l-31.146667-0.042667a30.848 30.848 0 0 1-30.378666-31.36 30.762667 30.762667 0 0 1 30.464-31.061333h15.488c19.456 0.085333 35.114667 0 49.962666-0.128l22.144-0.256c18.56-0.256 38.4-0.64 65.365334-1.109334 41.557333-0.810667 64-22.101333 68.608-65.109333 12.928-119.466667 35.498667-405.504 35.712-408.32a31.146667 31.146667 0 0 1 32.810666-28.672z m-596.650666 0a31.146667 31.146667 0 0 1 32.810666 28.672c0.213333 2.858667 22.613333 288.085333 35.669334 408.533333 4.522667 42.112 26.666667 63.872 65.792 64.725334a30.933333 30.933333 0 0 1 29.824 31.872 30.848 30.848 0 0 1-30.464 30.549333h-0.64c-69.290667-1.493333-117.205333-47.530667-125.056-120.192-13.184-121.429333-35.584-407.637333-35.84-410.538667a30.976 30.976 0 0 1 27.904-33.621333zM600.234667 85.333333c37.888 0 71.168 26.197333 80.981333 63.744a31.317333 31.317333 0 0 1-21.504 38.272 30.378667 30.378667 0 0 1-37.290667-22.101333 23.125333 23.125333 0 0 0-22.186666-17.450667H423.765333a23.125333 23.125333 0 0 0-22.186666 17.450667l-9.685334 50.048c-1.365333 6.741333-3.413333 13.056-5.930666 19.2h479.573333c16.810667 0 30.464 13.994667 30.464 31.232 0 17.28-13.653333 31.232-30.464 31.232H158.421333A30.805333 30.805333 0 0 1 128 265.728c0-17.237333 13.610667-31.189333 30.421333-31.189333h136.277334a38.570667 38.570667 0 0 0 37.461333-31.445334l10.154667-52.053333C352.554667 111.530667 385.877333 85.333333 423.765333 85.333333z" fill="#ffffff" p-id="10820"></path></svg>
|
After Width: | Height: | Size: 2.0 KiB |
1
images/svg/dark/documentation.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671985489028" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="38985" data-darkreader-inline-fill="" xmlns:xlink="http://www.w3.org/1999/xlink" width="120" height="120"><path d="M923.71 718.26l0.65-0.8 0.52-0.66 0.68-0.91 0.42-0.59q0.36-0.52 0.7-1l0.31-0.49q0.37-0.59 0.73-1.2l0.21-0.37q0.38-0.67 0.73-1.35l0.13-0.26q0.38-0.74 0.72-1.5l0.07-0.15q0.36-0.8 0.69-1.62v-0.08q0.33-0.84 0.63-1.7 0.3-0.87 0.56-1.75v-0.06q0.25-0.87 0.47-1.75v-0.13c0.13-0.56 0.26-1.13 0.37-1.7v-0.26c0.1-0.53 0.19-1.06 0.27-1.59 0-0.16 0-0.32 0.06-0.49 0.06-0.46 0.12-0.93 0.17-1.4 0-0.29 0-0.58 0.07-0.87s0.06-0.7 0.08-1.06V122A113.63 113.63 0 0 0 819.64 8.52H217.45A113.63 113.63 0 0 0 104 122v780a113.63 113.63 0 0 0 113.5 113.5h407.34a39.8 39.8 0 0 0 19.41-5h0.06q0.82-0.46 1.61-0.95l0.32-0.21c0.44-0.28 0.87-0.56 1.3-0.86l0.5-0.35c0.36-0.26 0.72-0.52 1.07-0.79l0.6-0.47 0.92-0.75 0.65-0.56 0.83-0.75 0.64-0.61 0.78-0.78 0.35-0.35 268.3-283 0.28-0.31 0.65-0.72zM217.45 935.48A33.54 33.54 0 0 1 184 902V122a33.54 33.54 0 0 1 33.5-33.5h602.14a33.54 33.54 0 0 1 33.5 33.5v530.47h-154.8A113.63 113.63 0 0 0 584.84 766v169.48z m582.65-203L664.84 875.14V766a33.54 33.54 0 0 1 33.5-33.5z" fill="#c5c5c5" p-id="38986" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#434545;"></path><path d="M315 286.51h358.53a40 40 0 0 0 0-80H315a40 40 0 0 0 0 80zM713.53 416.84a40 40 0 0 0-40-40H315a40 40 0 0 0 0 80h358.53a40 40 0 0 0 40-40zM523.53 547.17H315a40 40 0 0 0 0 80h208.53a40 40 0 0 0 0-80zM538.45 874.41H344a15 15 0 0 0 0 30h194.45a15 15 0 0 0 0-30z" fill="#c5c5c5" p-id="38987" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#434545;"></path><path d="M288.51 889.41m-15 0a15 15 0 1 0 30 0 15 15 0 1 0-30 0Z" fill="#c5c5c5" p-id="38988" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#e1d7c8;"></path></svg>
|
After Width: | Height: | Size: 2.0 KiB |
1
images/svg/dark/file.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1614779146459" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="1439" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M923.136 267.605333v701.952H193.024v-909.653333h521.216z" fill="#7CCDFF" p-id="1440" data-spm-anchor-id="a313x.7781069.0.i3" class="selected"></path><path d="M912.896 253.952l2.56 671.914667c0 15.530667-12.458667 27.989333-27.989333 27.989333H237.909333c-15.530667 0-27.989333-12.458667-27.989333-27.989333V96.938667c0-15.530667 12.458667-27.989333 27.989333-27.989334l493.397334-1.024-38.912-39.936H239.274667c-36.352 0-65.706667 29.354667-65.706667 65.706667v835.584c0 36.352 29.354667 65.706667 65.706667 65.706667h646.826666c36.352 0 65.706667-29.354667 65.706667-65.706667V293.888l-38.912-39.936z" fill="#4191FB" p-id="1441"></path><path d="M692.394667 222.72c0 39.424 31.914667 71.338667 71.338666 71.338667h188.245334L692.394667 27.989333v194.730667z" fill="#C7E2FF" p-id="1442"></path><path d="M557.568 482.304H158.72c-50.346667 0-91.136-40.789333-91.136-91.136v-60.245333c0-50.346667 40.789333-91.136 91.136-91.136h398.848c50.346667 0 91.136 40.789333 91.136 91.136v60.245333c0 50.346667-40.789333 91.136-91.136 91.136z" fill="#4191FB" p-id="1443"></path><path d="M143.701333 287.573333h99.157334v33.450667h-58.88V348.16h50.346666v31.402667h-50.346666v63.658666H143.701333v-155.648zM266.069333 287.573333h40.106667v155.818667h-40.106667v-155.818667zM335.018667 287.573333h40.106666v117.418667h62.634667v38.4h-102.741333v-155.818667zM455.850667 287.573333h107.52V320.853333h-67.242667v24.746667h62.464v31.744h-62.464v30.72h69.290667v35.328h-109.397334v-155.818667h-0.170666z" fill="#FFFFFF" p-id="1444"></path><path d="M692.736 754.346667H456.874667c-12.458667 0-22.698667 10.24-22.698667 22.698666s10.24 22.698667 22.698667 22.698667h235.861333c12.458667 0 22.698667-10.24 22.698667-22.698667s-10.24-22.698667-22.698667-22.698666z" fill="#4191FB" p-id="1445"></path><path d="M788.309333 610.304H544.768l-67.413333-56.32c-2.730667-2.389333-6.314667-3.242667-9.728-2.901333H332.288c-7.168 0-12.970667 5.802667-12.970667 12.970666v302.08c0 7.168 5.802667 12.970667 12.970667 12.970667h456.021333c7.168 0 12.970667-5.802667 12.970667-12.970667V623.274667c0.170667-7.168-5.802667-12.970667-12.970667-12.970667z m-423.594666-13.994667h92.501333l67.925333 56.832c3.413333 2.901333 8.021333 3.584 11.946667 2.56h218.794667v177.834667H364.714667v-237.226667z" fill="#4191FB" p-id="1446"></path></svg>
|
After Width: | Height: | Size: 2.6 KiB |
1
images/svg/dark/fsm.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1640702964675" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="3334" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M268 728q30.016 36 30.016 82.016 0 54.016-36.992 91.008t-90.016 36.992T80 901.024t-38.016-90.016T80 720t90.016-38.016q16 0 24 2.016l130.016-228-74.016-42.016 174.016-48 48 174.016-74.016-42.016-130.016 230.016z m586.016-45.984q-42.016 0-75.008 24t-44.992 62.016h-264v-86.016l-128 128 128 128v-84h264q12 38.016 44.992 60.992t75.008 23.008q52 0 90.016-36.992t38.016-90.016-38.016-91.008-90.016-38.016zM512 342.016q16 0 22.016-2.016l132 228-74.016 42.016 174.016 48 48-174.016-74.016 42.016L608 296q32-36 32-82.016 0-54.016-36.992-91.008T512 85.984t-91.008 36.992T384 212.992 420.992 304 512 342.016z" p-id="3335" fill="#e6e6e6"></path></svg>
|
After Width: | Height: | Size: 1016 B |
1
images/svg/dark/hide.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1634475152223" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="6006" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M917.333333 573.866667l-87.466666-87.466667c34.133333-32 66.133333-68.266667 91.733333-108.8 8.533333-14.933333 4.266667-34.133333-10.666667-44.8-14.933333-8.533333-34.133333-4.266667-44.8 10.666667-76.8 125.866667-209.066667 200.533333-356.266666 200.533333-145.066667 0-279.466667-74.666667-354.133334-198.4-8.533333-14.933333-29.866667-19.2-44.8-10.666667-14.933333 8.533333-19.2 29.866667-10.666666 44.8 25.6 40.533333 55.466667 76.8 91.733333 108.8l-85.333333 85.333334c-12.8 12.8-12.8 32 0 44.8 6.4 6.4 14.933333 8.533333 23.466666 8.533333s17.066667-2.133333 23.466667-8.533333l91.733333-91.733334c38.4 25.6 81.066667 46.933333 125.866667 59.733334l-34.133333 130.133333c-4.266667 17.066667 6.4 34.133333 23.466666 38.4 2.133333 0 6.4 2.133333 8.533334 2.133333 14.933333 0 27.733333-8.533333 29.866666-23.466666l36.266667-132.266667c25.6 4.266667 51.2 6.4 78.933333 6.4 27.733333 0 55.466667-2.133333 83.2-6.4l36.266667 132.266667c4.266667 14.933333 17.066667 23.466667 29.866667 23.466666 2.133333 0 6.4 0 8.533333-2.133333 17.066667-4.266667 27.733333-21.333333 23.466667-38.4L661.333333 584.533333c44.8-12.8 85.333333-34.133333 123.733334-59.733333l91.733333 91.733333c6.4 6.4 14.933333 8.533333 23.466667 8.533334s17.066667-2.133333 23.466666-8.533334c6.4-10.666667 6.4-29.866667-6.4-42.666666z" p-id="6007" fill="#ffffff"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/dark/import.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1673361931119" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="14451" data-darkreader-inline-fill="" xmlns:xlink="http://www.w3.org/1999/xlink" width="20" height="20"><path d="M120.3712 668.8768l342.016 128.2048c29.8496 11.1616 49.6128 39.68 49.664 71.5264a36.9664 36.9664 0 0 1-49.7664 34.7648l-377.856-138.6496a51.2 51.2 0 0 1-29.952-65.8944c6.144-16.384 28.1088-26.368 65.8944-29.952zM768 409.6a51.2 51.2 0 0 1 51.2 51.2v153.6h76.8a51.2 51.2 0 0 1 40.96 81.92l-128 170.6496a51.2 51.2 0 0 1-81.92 0L599.04 696.32a51.2 51.2 0 0 1 40.96-81.92H716.8V460.8a51.2 51.2 0 0 1 51.2-51.2zM114.688 462.2848l5.6832 1.792 342.016 128.2048c29.8496 11.1616 49.6128 39.68 49.664 71.5776a36.9664 36.9664 0 0 1-49.7664 34.7136l-377.856-138.6496a51.2 51.2 0 0 1 30.208-97.6384z m409.6-358.4l5.6832 1.7408 389.6832 146.2784a51.2 51.2 0 0 1 30.6176 31.8464l1.024 3.072a35.072 35.072 0 0 1-18.8416 43.008c-13.824 6.2464-27.6992 9.3696-41.6256 9.3696-23.0912 0-61.4912-10.6496-115.0976-32L512 208.3328l-263.7312 98.816L478.72 393.6768a51.2 51.2 0 0 1 33.28 47.9232v17.4592a36.9664 36.9664 0 0 1-49.7664 34.7136L80.64 353.536l-5.12-2.7648-3.072-2.048-1.9456-1.4848-5.2736-4.8128-2.816-3.2256-3.0208-4.1984-2.7136-4.7616-3.072-7.5264-1.1264-4.0448-0.9216-5.4784L51.2 306.0224l0.4608-5.7344 0.8192-4.608 0.768-2.816 1.8432-5.1712 1.2288-2.816 1.9968-3.6864 3.072-4.608 3.7888-4.5568 4.096-3.8912 4.7104-3.584 3.072-1.8432 5.632-2.7648 411.3408-154.3168 7.0656-2.048a51.2 51.2 0 0 1 23.2448 0.3072z" fill="#c5c5c5" p-id="14452" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#434545;"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/dark/library.backup.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1653751760588" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="1328" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M315.63 118.583H95.098c-17.6 0-32 14.4-32 32v746.918c0 17.6 14.4 32 32 32H315.63c17.6 0 32-14.4 32-32V150.583c0-17.6-14.4-32-32-32z m-39.133 245.399H134.231c-17.673 0-32-14.327-32-32s14.327-32 32-32h142.266c17.673 0 32 14.327 32 32s-14.327 32-32 32z m0-113.813H134.231c-17.673 0-32-14.327-32-32s14.327-32 32-32h142.266c17.673 0 32 14.327 32 32s-14.327 32-32 32zM571.71 118.583h-149.4c-17.6 0-32 14.4-32 32v746.918c0 17.6 14.4 32 32 32h149.4c17.6 0 32-14.4 32-32V150.583c0-17.6-14.4-32-32-32z m-10.68 245.399H432.99c-17.673 0-32-14.327-32-32s14.327-32 32-32h128.04c17.673 0 32 14.327 32 32s-14.327 32-32 32z m0-113.813H432.99c-17.673 0-32-14.327-32-32s14.327-32 32-32h128.04c17.673 0 32 14.327 32 32s-14.327 32-32 32zM955.119 872.454L819.663 152.356c-3.254-17.297-20.068-28.786-37.364-25.533l-135.388 25.468c-17.297 3.254-28.786 20.067-25.533 37.364l135.456 720.098c3.254 17.297 20.068 28.786 37.364 25.533l135.388-25.468c17.297-3.254 28.787-20.067 25.533-37.364z m-308.92-627.011a32.044 32.044 0 0 1-1.002-7.949c0.005-14.272 9.629-27.279 24.094-30.971l102.455-26.15c17.122-4.372 34.548 5.967 38.92 23.092a32.044 32.044 0 0 1 1.002 7.949c-0.005 14.272-9.629 27.279-24.094 30.971l-102.455 26.15a32.046 32.046 0 0 1-7.938 1.002c-14.276 0-27.288-9.624-30.982-24.094z m169.523 107.219l-102.455 26.151a32.046 32.046 0 0 1-7.938 1.002c-14.276 0-27.289-9.625-30.982-24.094a32.044 32.044 0 0 1-1.002-7.949c0.005-14.272 9.629-27.279 24.094-30.971l102.455-26.151c17.122-4.372 34.548 5.967 38.92 23.092a32.044 32.044 0 0 1 1.002 7.949c-0.005 14.272-9.629 27.279-24.094 30.971z" p-id="1329" data-spm-anchor-id="a313x.7781069.0.i0" class="" fill="#C5C5C5"></path></svg>
|
After Width: | Height: | Size: 2.0 KiB |
1
images/svg/dark/library.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671964506277" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="11460" data-darkreader-inline-fill="" xmlns:xlink="http://www.w3.org/1999/xlink" width="120" height="120"><path d="M120.3712 668.8768l342.016 128.2048c29.8496 11.1616 49.6128 39.68 49.664 71.5264a36.9664 36.9664 0 0 1-49.7664 34.7648l-377.856-138.6496a51.2 51.2 0 0 1-29.952-65.8944c6.144-16.384 28.1088-26.368 65.8944-29.952zM768 409.6a51.2 51.2 0 0 1 51.2 51.2v153.6h76.8a51.2 51.2 0 0 1 40.96 81.92l-128 170.6496a51.2 51.2 0 0 1-81.92 0L599.04 696.32a51.2 51.2 0 0 1 40.96-81.92H716.8V460.8a51.2 51.2 0 0 1 51.2-51.2zM114.688 462.2848l5.6832 1.792 342.016 128.2048c29.8496 11.1616 49.6128 39.68 49.664 71.5776a36.9664 36.9664 0 0 1-49.7664 34.7136l-377.856-138.6496a51.2 51.2 0 0 1 30.208-97.6384z m409.6-358.4l5.6832 1.7408 389.6832 146.2784a51.2 51.2 0 0 1 30.6176 31.8464l1.024 3.072a35.072 35.072 0 0 1-18.8416 43.008c-13.824 6.2464-27.6992 9.3696-41.6256 9.3696-23.0912 0-61.4912-10.6496-115.0976-32L512 208.3328l-263.7312 98.816L478.72 393.6768a51.2 51.2 0 0 1 33.28 47.9232v17.4592a36.9664 36.9664 0 0 1-49.7664 34.7136L80.64 353.536l-5.12-2.7648-3.072-2.048-1.9456-1.4848-5.2736-4.8128-2.816-3.2256-3.0208-4.1984-2.7136-4.7616-3.072-7.5264-1.1264-4.0448-0.9216-5.4784L51.2 306.0224l0.4608-5.7344 0.8192-4.608 0.768-2.816 1.8432-5.1712 1.2288-2.816 1.9968-3.6864 3.072-4.608 3.7888-4.5568 4.096-3.8912 4.7104-3.584 3.072-1.8432 5.632-2.7648 411.3408-154.3168 7.0656-2.048a51.2 51.2 0 0 1 23.2448 0.3072z" fill="#C5C5C5" p-id="11461" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#434545;"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/dark/list-tree.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="54px" height="48px" viewBox="-0.5 -0.5 54 48" content="<mxfile><diagram id="WUjT4v1sV9DFPHc_gWuv" name="Page-1">7VbbjtMwEP2aPlL5kkv7CN0WHkBC6gPwaDXexOBkInfapnw9Tu1crGxXqxUtSKBKVebMeGKfM0fOjK/K5r0RdfEJMqlnjGTNjD/MGFtS+9fGZxenqYtzozKH0AHYqp8yBA8qk/sAQgCNqg7BHVSV3GGACWPgFJY9gg5fWotcToDtTugp+kVlWHg0iaMh8UGqvPCvZpwnLlOKrpo4YF+IDE4jiK9nfGUA0D2VzUrqlrmOF7ducyXb78zICl+0YOn3gefudDKzh/UhGCwgh0ro9YC+M3CoMtl2IDYaaj4C1BakFvwuEc9eOHFAsFCBpfZZ2Sj82i6fxz76Nso8NL7zJTh3QZW9bbWzYQWVdMhGae3zezTwo9eD9cgKNJjLyfiGtD+bcWduD3qVNg/t4WB2vor1+tipllBKNGdbYqQWqI5hK+FHLO/r+qWfQdmXMOKtwJbxnPJlzKPFgqeMLP2oeGf0o9N1RGFyib7JoKx9GO1qgC56P609c42PQh/85iezECp9KhTKbS0uhJysr0NVH60YHdteIgNouYHKIm/461R6QpSjNCibETQVpSN3QUI2iY9Pg29ZPOe+cTEyLSXXlQxIf45hOmH0nu4aeWtw2t/qruRW7goHgBGrdhzxhEU0oSS9lbmSu5rrD1iLUxYyS5OJtehi3t2JY2st5in7DeYiE0b/m+uKudIbmSu6112V/nN26u6kG9nJhsNXphNk+FDn618=</diagram></mxfile>"><defs/><g><path d="M 17.16 16.33 L 17.14 43" fill="none" stroke="#f0f0f0" stroke-width="2" stroke-miterlimit="10" pointer-events="stroke"/><rect x="2" y="7" width="25.31" height="10" fill="none" stroke="#f0f0f0" stroke-width="2" transform="rotate(-30,14.65,12)" pointer-events="all"/><path d="M 34 27.36 L 17 27.4" fill="none" stroke="#f0f0f0" stroke-width="2" stroke-miterlimit="10" pointer-events="stroke"/><rect x="34" y="23" width="18.54" height="8.72" fill="none" stroke="#f0f0f0" stroke-width="2" pointer-events="all"/><path d="M 34 42.36 L 16.01 42.4" fill="none" stroke="#f0f0f0" stroke-width="2" stroke-miterlimit="10" pointer-events="stroke"/><rect x="34" y="38" width="18.54" height="8.72" fill="none" stroke="#f0f0f0" stroke-width="2" pointer-events="all"/></g></svg>
|
After Width: | Height: | Size: 1.8 KiB |
1
images/svg/dark/netlist.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671984552604" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="29202" data-darkreader-inline-fill="" xmlns:xlink="http://www.w3.org/1999/xlink" width="120" height="120"><path d="M609.38 739.69H547.5v-452.2h61.88c17.67 0 32-14.33 32-32s-14.33-32-32-32H515.5c-3.31 0-6.51 0.5-9.52 1.44-13.03 4.05-22.48 16.2-22.48 30.56v224.1h-66.12c-17.67 0-32 14.33-32 32s14.33 32 32 32h66.12v228.1c0 17.67 14.33 32 32 32h93.88c17.67 0 32-14.33 32-32s-14.33-32-32-32z" fill="#c5c5c5" p-id="29203" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#434545;"></path><path d="M297.38 705.22h-81.47c-83.81 0-152-68.19-152-152v-81.47c0-83.81 68.19-152 152-152h81.47c83.81 0 152 68.19 152 152v81.47c0 83.81-68.19 152-152 152z m-81.46-321.47c-48.52 0-88 39.48-88 88v81.47c0 48.52 39.48 88 88 88h81.47c48.52 0 88-39.48 88-88v-81.47c0-48.52-39.48-88-88-88h-81.47zM808.13 959.38h-78.75c-83.81 0-152-68.19-152-152v-78.75c0-83.81 68.19-152 152-152h78.75c83.81 0 152 68.19 152 152v78.75c0 83.81-68.19 152-152 152z m-78.75-318.75c-48.52 0-88 39.48-88 88v78.75c0 48.52 39.48 88 88 88h78.75c48.52 0 88-39.48 88-88v-78.75c0-48.52-39.48-88-88-88h-78.75zM808.13 446.86h-78.75c-83.81 0-152-68.19-152-152v-78.75c0-83.81 68.19-152 152-152h78.75c83.81 0 152 68.19 152 152v78.75c0 83.82-68.19 152-152 152z m-78.75-318.75c-48.52 0-88 39.48-88 88v78.75c0 48.52 39.48 88 88 88h78.75c48.52 0 88-39.48 88-88v-78.75c0-48.52-39.48-88-88-88h-78.75z" fill="#c5c5c5" p-id="29204" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#434545;"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/dark/refresh.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg xmlns="http://www.w3.org/2000/svg" width="16" height="16"><path d="M13.451 5.609l-.579-.939-1.068.812-.076.094c-.335.415-.927 1.341-1.124 2.876l-.021.165.033.163.071.345c0 1.654-1.346 3-3 3-.795 0-1.545-.311-2.107-.868-.563-.567-.873-1.317-.873-2.111 0-1.431 1.007-2.632 2.351-2.929v2.926s2.528-2.087 2.984-2.461h.012l3.061-2.582-4.919-4.1h-1.137v2.404c-3.429.318-6.121 3.211-6.121 6.721 0 1.809.707 3.508 1.986 4.782 1.277 1.282 2.976 1.988 4.784 1.988 3.722 0 6.75-3.028 6.75-6.75 0-1.245-.349-2.468-1.007-3.536z" fill="#2D2D30"/><path d="M12.6 6.134l-.094.071c-.269.333-.746 1.096-.91 2.375.057.277.092.495.092.545 0 2.206-1.794 4-4 4-1.098 0-2.093-.445-2.817-1.164-.718-.724-1.163-1.718-1.163-2.815 0-2.206 1.794-4 4-4l.351.025v1.85s1.626-1.342 1.631-1.339l1.869-1.577-3.5-2.917v2.218l-.371-.03c-3.176 0-5.75 2.574-5.75 5.75 0 1.593.648 3.034 1.695 4.076 1.042 1.046 2.482 1.694 4.076 1.694 3.176 0 5.75-2.574 5.75-5.75-.001-1.106-.318-2.135-.859-3.012z" fill="#C5C5C5"/></svg>
|
After Width: | Height: | Size: 986 B |
1
images/svg/dark/remote.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617021598101" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="8956" data-spm-anchor-id="a313x.7781069.0.i20" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M213.796 357.857c-44.449 0-80.61-36.162-80.61-80.61v-67.883c0-44.449 36.162-80.61 80.61-80.61h596.406c44.448 0 80.609 36.162 80.609 80.611v67.882c0 44.449-36.161 80.61-80.609 80.61H213.796z m596.406-189.104H213.796c-22.393 0-40.61 18.218-40.61 40.61v67.883c0 22.393 18.218 40.61 40.61 40.61h596.406c22.393 0 40.609-18.218 40.609-40.61v-67.882c0.001-22.393-18.216-40.611-40.609-40.611z" fill="#ffffff" p-id="8957" data-spm-anchor-id="a313x.7781069.0.i8" class="selected"></path><path d="M296.227 244.517m-31.517 0a31.517 31.517 0 1 0 63.034 0 31.517 31.517 0 1 0-63.034 0Z" fill="#ffffff" p-id="8958" data-spm-anchor-id="a313x.7781069.0.i9" class="selected"></path><path d="M296.227 509.081m-31.517 0a31.517 31.517 0 1 0 63.034 0 31.517 31.517 0 1 0-63.034 0Z" fill="#ffffff" p-id="8959" data-spm-anchor-id="a313x.7781069.0.i11" class="selected"></path><path d="M296.227 781.86m-31.517 0a31.517 31.517 0 1 0 63.034 0 31.517 31.517 0 1 0-63.034 0Z" fill="#ffffff" p-id="8960" data-spm-anchor-id="a313x.7781069.0.i13" class="selected"></path><path d="M428.967 623.025h-215.17c-44.449 0-80.61-36.161-80.61-80.609v-67.883c0-44.448 36.162-80.61 80.61-80.61l596.406-0.001c44.448 0 80.609 36.162 80.609 80.61v67.884c0 11.046-8.954 20-20 20s-20-8.954-20-20v-67.884c0-22.393-18.218-40.61-40.609-40.61l-596.406 0.001c-22.393 0-40.61 18.217-40.61 40.61v67.883c0 22.392 18.218 40.609 40.61 40.609h215.17c11.046 0 20 8.954 20 20s-8.954 20-20 20zM342.597 895.2h-128.8c-21.531 0.001-41.774-8.384-57-23.609s-23.611-35.469-23.611-57v-67.883c0-44.449 36.162-80.611 80.61-80.611h128.8c11.046 0 20 8.954 20 20s-8.954 20-20 20h-128.8c-22.393 0-40.61 18.218-40.61 40.611v67.883c0 10.847 4.224 21.045 11.895 28.716 7.67 7.67 17.868 11.894 28.715 11.894h128.801c11.046 0 20 8.954 20 20s-8.954 19.999-20 19.999z" fill="#ffffff" p-id="8961" data-spm-anchor-id="a313x.7781069.0.i10" class="selected"></path><path d="M467.523 892.076c-3.163 0-6.33-0.136-9.412-0.403-26.97-2.316-51.979-14.593-70.403-34.568-18.536-20.097-28.744-46.23-28.744-73.586 0-62.03 52.266-112.087 115.153-108.358 11.026 0.655 19.433 10.126 18.778 21.151-0.656 11.026-10.112 19.441-21.152 18.778a71.598 71.598 0 0 0-4.22-0.127c-37.803 0-68.559 30.754-68.559 68.556 0 35.286 27.491 65.289 62.587 68.303 1.955 0.17 3.958 0.255 5.972 0.255 11.046 0 20 8.954 20 20s-8.954 19.999-20 19.999z" p-id="8962" data-spm-anchor-id="a313x.7781069.0.i14" class="" fill="#e6e6e6"></path><path d="M472.87 713.06c-10.338 0-19.098-7.96-19.917-18.441a108.05 108.05 0 0 1-0.325-8.4c0-58.654 47.717-106.373 106.369-106.373 1.561 0 3.148-0.01 4.755-0.02 15.93-0.103 35.746-0.219 51.774 8.978 9.58 5.497 12.892 17.72 7.395 27.301-5.497 9.58-17.72 12.891-27.301 7.395-6.668-3.825-19.921-3.742-31.624-3.674-1.689 0.011-3.358 0.021-4.999 0.021-36.596 0-66.369 29.774-66.369 66.373 0 1.779 0.068 3.557 0.203 5.281 0.861 11.013-7.368 20.638-18.379 21.499-0.532 0.039-1.059 0.06-1.582 0.06z" p-id="8963" data-spm-anchor-id="a313x.7781069.0.i12" class="" fill="#e6e6e6"></path><path d="M831.146 655.309c-0.433 0-0.862-0.015-1.291-0.044-10.362-0.703-18.384-9.105-18.657-19.543-1.293-52.442-43.079-93.498-95.13-93.498-34.358 0-77.636 10.73-88.689 61.849-1.448 6.701-4.371 11.005-7.541 13.769-3.669 4.346-9.157 7.106-15.29 7.106-11.046 0-20-8.954-20-20 0-8.148 2.399-13.488 5.627-16.986 7.411-26.595 22.451-48.242 43.825-62.965 21.938-15.111 49.55-22.772 82.068-22.772 35.689 0 69.306 13.761 94.657 38.747 22.652 22.327 36.552 51.464 39.777 82.965a20.444 20.444 0 0 1 1.455 7.616 20.03 20.03 0 0 1-0.625 4.979c-0.1 0.612-0.202 1.232-0.288 1.704-2.071 11.398-11.35 17.072-19.898 17.073z" p-id="8964" data-spm-anchor-id="a313x.7781069.0.i17" class="" fill="#e6e6e6"></path><path d="M825.577 892.81c-11.046 0-20-8.954-20-20s8.954-20 20-20c1.361 0 2.759-0.029 4.152-0.088 52.98-2.19 94.473-45.473 94.473-98.537 0-52.651-41.149-95.918-93.681-98.5-11.068-0.568-19.547-9.52-19.345-20.381 0.144-7.726 5.813-19.344 20.845-19.685 0.691-0.016 1.51-0.028 2.138-0.037 2.481-0.106 4.9 0.212 7.046 0.849 69.622 7.764 122.997 66.675 122.997 137.754 0 36.013-13.746 70.137-38.705 96.086-24.886 25.873-58.306 40.937-94.104 42.416-1.939 0.082-3.899 0.123-5.816 0.123z" p-id="8965" data-spm-anchor-id="a313x.7781069.0.i16" class="" fill="#e6e6e6"></path><path d="M842.083 891.806H466.427c-11.046 0-20-8.954-20-20s8.954-20 20-20h375.656c11.046 0 20 8.954 20 20s-8.954 20-20 20z" p-id="8966" data-spm-anchor-id="a313x.7781069.0.i15" class="" fill="#e6e6e6"></path></svg>
|
After Width: | Height: | Size: 4.8 KiB |
3
images/svg/dark/run.svg
Normal file
@ -0,0 +1,3 @@
|
|||||||
|
<svg width="16" height="16" viewBox="0 0 16 16" fill="none" xmlns="http://www.w3.org/2000/svg">
|
||||||
|
<path fill-rule="evenodd" clip-rule="evenodd" d="M4 2V14.4805L12.9146 8.24024L4 2ZM11.1809 8.24024L4.995 12.5684V3.91209L11.1809 8.24024Z" fill="#C5C5C5"/>
|
||||||
|
</svg>
|
After Width: | Height: | Size: 259 B |
1
images/svg/dark/show.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1634475136453" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="5150" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M343.637333 435.925333A189.738667 189.738667 0 0 0 341.333333 465.493333c0 96.938667 72.96 174.762667 161.450667 175.36 89.258667-0.64 162.005333-78.293333 162.005333-175.36 0-14.506667-1.621333-28.629333-4.736-42.24 34.133333 19.456 64 45.525333 87.978667 76.373334-15.786667 126.890667-118.528 225.664-245.248 226.56-119.125333-0.853333-217.6-89.258667-241.322667-206.165334a300.288 300.288 0 0 1 82.176-84.053333z m609.536 6.229334l-62.933333 57.642666C766.378667 364.672 659.968 298.666667 512 298.666667c-144.341333 0-255.146667 69.589333-378.112 200.362666l-62.165333-58.453333C209.322667 294.229333 338.090667 213.333333 512 213.333333c177.322667 0 302.72 77.738667 441.173333 228.821334z" p-id="5151" fill="#ffffff"></path></svg>
|
After Width: | Height: | Size: 1.1 KiB |
1
images/svg/dark/sim.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" viewBox="0 0 32 32"><defs><style>.cls-1{fill:none;}.cls-2{fill:#dcb67a;}.cls-3{clip-path:url(#clip-path);}.cls-4{fill:#252526;}.cls-5{fill:#0095d7;}</style><clipPath id="clip-path"><rect class="cls-1" x="14" y="12" width="18" height="20"/></clipPath></defs><title>folder.test.close</title><g id="Bases"><path class="cls-2" d="M30,5V25a1,1,0,0,1-1,1H5a1,1,0,0,1-1-1V9A1,1,0,0,1,5,8h7l2-4H29A1,1,0,0,1,30,5ZM28,8V6H16L15,8Z"/></g><g id="Overlays"><g id="Overlay_-_Test" data-name="Overlay - Test"><g class="cls-3"><polygon class="cls-4" points="16 12 16 18 18 18 18 19.47 14 26.47 14 27.61 16.93 32 29.07 32 32 27.61 32 26.47 28 19.47 28 18 30 18 30 12 16 12"/><path class="cls-5" d="M26,20V16h2V14H18v2h2v4l-4,7,2,3H28l2-3Zm-5.94,4,1.6-2.89.34-.5V16h2v4.61l.34.5L25.94,24Z"/></g></g></g></svg>
|
After Width: | Height: | Size: 875 B |
1
images/svg/dark/src.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg xmlns="http://www.w3.org/2000/svg" viewBox="0 0 32 32"><defs><style>.cls-1{fill:#dcb67a;}.cls-2{fill:#252526;}.cls-3{fill:#57aa54;}</style></defs><title>folder.src.close</title><g id="Bases"><path class="cls-1" d="M30,5V25a1,1,0,0,1-1,1H5a1,1,0,0,1-1-1V9A1,1,0,0,1,5,8h7l2-4H29A1,1,0,0,1,30,5ZM28,8V6H16L15,8Z"/></g><g id="Overlays"><g id="_编组_" data-name="<编组>"><path id="_复合路径_" data-name="<复合路径>" class="cls-2" d="M20,32a3.92,3.92,0,0,1-4-4V18a3.92,3.92,0,0,1,4-4h8.75L32,18.12V28a3.92,3.92,0,0,1-4,4Z"/><path id="_复合路径_2" data-name="<复合路径>" class="cls-3" d="M26.63,16h-6.7A1.91,1.91,0,0,0,18,18V28a1.91,1.91,0,0,0,1.93,2h8A2,2,0,0,0,30,28V20.27ZM28,24h0v4H20V18h6v2h2Z"/><rect id="_路径_" data-name="<路径>" class="cls-3" x="21.99" y="19.99" width="3.99" height="2.09"/><rect id="_路径_2" data-name="<路径>" class="cls-3" x="22" y="24" width="4" height="2"/></g></g></svg>
|
After Width: | Height: | Size: 960 B |
1
images/svg/dark/systemverilog.backup.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg version="1.1" viewBox="0 0 24 24" xmlns="http://www.w3.org/2000/svg"><path d="m13 9h5.5l-5.5-5.5v5.5m-7-7h8l6 6v12a2 2 0 0 1 -2 2h-12c-1.11 0-2-.9-2-2v-16c0-1.11.89-2 2-2m9 16v-2h-9v2h9m3-4v-2h-12v2h12z" fill="#757575" style="fill:#757575"/><g transform="matrix(.57384 0 0 .57384 11.066 10.911)"><path d="m1.791 3.6914 10.209 17.619 10.209-17.533v-.085938h-3.9688l-6.1816 10.615-6.1523-10.615z" style="fill:#e97d57"/><path d="m5.9072 3.6914 6.1521 10.617 6.1809-10.617h-3.7228l-2.4337 4.1783-2.4226-4.1783z" style="fill:#35495e"/></g></svg>
|
After Width: | Height: | Size: 546 B |
1
images/svg/dark/systemverilog.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1700394770615" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="1667" width="200" height="200" xmlns:xlink="http://www.w3.org/1999/xlink"><path d="M352 48c0-26.6-21.4-48-48-48s-48 21.4-48 48v80c-70.6 0-128 57.4-128 128H48c-26.6 0-48 21.4-48 48s21.4 48 48 48h80v112H48c-26.6 0-48 21.4-48 48s21.4 48 48 48h80v112H48c-26.6 0-48 21.4-48 48s21.4 48 48 48h80c0 70.6 57.4 128 128 128v80c0 26.6 21.4 48 48 48s48-21.4 48-48v-80h112v80c0 26.6 21.4 48 48 48s48-21.4 48-48v-80h112v80c0 26.6 21.4 48 48 48s48-21.4 48-48v-80c70.6 0 128-57.4 128-128h80c26.6 0 48-21.4 48-48s-21.4-48-48-48h-80v-112h80c26.6 0 48-21.4 48-48s-21.4-48-48-48h-80v-112h80c26.6 0 48-21.4 48-48s-21.4-48-48-48h-80c0-70.6-57.4-128-128-128V48c0-26.6-21.4-48-48-48s-48 21.4-48 48v80h-112V48c0-26.6-21.4-48-48-48s-48 21.4-48 48v80h-112V48z m-32 208h384c35.4 0 64 28.6 64 64v384c0 35.4-28.6 64-64 64H320c-35.4 0-64-28.6-64-64V320c0-35.4 28.6-64 64-64z m384 64H320v384h384V320z" p-id="1668" fill="#34D1B2"></path></svg>
|
After Width: | Height: | Size: 1.1 KiB |
3
images/svg/dark/tcl.svg
Normal file
@ -0,0 +1,3 @@
|
|||||||
|
<svg version="1.1" viewBox="0 0 24 24" xmlns="http://www.w3.org/2000/svg">
|
||||||
|
<path d="m21.492 2.5102s-7.252-0.353-12.966 7.478c-4.385 6.008-6.018 11.504-6.018 11.504l1.842-0.95c1.366-2.372 2.078-3.35 3.417-4.745 2.401 0.702 4.907 0.617 7.08-1.899-1.898-0.531-3.416-0.408-5.657-0.18 2.516-1.718 4.234-2.098 6.607-1.718l0.949-1.898c-1.709-0.323-2.848-0.352-4.537 0.038 1.87-1.32 3.17-2.06 5.486-1.937l1.148-1.832c-1.48-0.104-2.372 0.057-4.072 0.475 1.529-1.386 2.924-2.012 4.955-2.136 0 0 0.997-1.793 1.766-2.202z" fill="#ef5350"/>
|
||||||
|
</svg>
|
After Width: | Height: | Size: 536 B |
1
images/svg/dark/toolBox.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671088275809" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="11485" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><path d="M0 0h1024v1024H0z" fill="#ffffff" opacity=".01" p-id="11486"></path><path d="M409.6 68.266667a136.533333 136.533333 0 0 0-136.533333 136.533333v34.133333H238.933333a170.666667 170.666667 0 0 0-170.666666 170.666667v375.466667a170.666667 170.666667 0 0 0 170.666666 170.666666h546.133334a170.666667 170.666667 0 0 0 170.666666-170.666666V409.6a170.666667 170.666667 0 0 0-170.666666-170.666667h-34.133334V204.8a136.533333 136.533333 0 0 0-136.533333-136.533333h-204.8z m477.866667 477.866666h-204.8a102.4 102.4 0 0 0-102.4-102.4h-136.533334a102.4 102.4 0 0 0-102.4 102.4H136.533333v-136.533333a102.4 102.4 0 0 1 102.4-102.4h546.133334a102.4 102.4 0 0 1 102.4 102.4v136.533333z m-204.8 68.266667h204.8v170.666667a102.4 102.4 0 0 1-102.4 102.4H238.933333a102.4 102.4 0 0 1-102.4-102.4v-170.666667h204.8a102.4 102.4 0 0 0 102.4 102.4h136.533334a102.4 102.4 0 0 0 102.4-102.4z m-68.266667-34.133333v34.133333a34.133333 34.133333 0 0 1-34.133333 34.133333h-136.533334a34.133333 34.133333 0 0 1-34.133333-34.133333v-68.266667a34.133333 34.133333 0 0 1 34.133333-34.133333h136.533334a34.133333 34.133333 0 0 1 34.133333 34.133333v34.133334z m68.266667-341.333334H341.333333V204.8a68.266667 68.266667 0 0 1 68.266667-68.266667h204.8a68.266667 68.266667 0 0 1 68.266667 68.266667v34.133333z" fill="#C5C5C5" p-id="11487"></path></svg>
|
After Width: | Height: | Size: 1.6 KiB |
1
images/svg/dark/top.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617021779982" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="13735" data-spm-anchor-id="a313x.7781069.0.i25" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M276.6 685.1h-107c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4h-107c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM570 685.1H463c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H463c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM855 685.1H748c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H748c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM214.8 635.1c16 0 28.9-12.9 28.9-28.9v-53h239.9v49.4c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-49.4h238.5v53c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-81.9c0-16-12.9-28.9-28.9-28.9H541.3v-63.8h99.3c39.8 0 72.2-32.4 72.2-72.2V169.6c0-39.8-32.4-72.2-72.2-72.2H384.2c-39.8 0-72.2 32.4-72.2 72.2v189.8c0 39.8 32.4 72.2 72.2 72.2h99.3v63.8H214.8c-16 0-28.9 12.9-28.9 28.9v81.9c0 15.9 12.9 28.9 28.9 28.9z m155-275.7V169.6c0-7.8 6.6-14.4 14.4-14.4h256.4c7.8 0 14.4 6.6 14.4 14.4v189.8c0 7.8-6.6 14.4-14.4 14.4H384.2c-7.8 0-14.4-6.6-14.4-14.4z" p-id="13736" data-spm-anchor-id="a313x.7781069.0.i23" class="selected" fill="#ffffff"></path></svg>
|
After Width: | Height: | Size: 1.8 KiB |
1
images/svg/dark/translate.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1637459236733" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="9340" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M1021.7472 239.5136c0 1.536-0.7168 3.072-2.2528 4.608l0 2.2528L909.7216 356.1472C903.5776 359.2192 899.072 360.6528 896 360.6528c-3.072 0-7.5776-1.4336-13.7216-4.608-9.1136-9.1136-9.1136-18.3296 0-27.4432l79.9744-77.7216L219.4432 250.88c-50.2784 0-93.2864 17.92-129.1264 53.6576-35.84 35.84-53.76 78.848-53.76 129.1264l0 18.3296c0 12.288-6.144 18.3296-18.3296 18.3296S0 464.384 0 452.096L0 433.8688c0-60.928 21.2992-112.7424 64-155.4432 42.7008-42.5984 94.5152-64 155.4432-64l742.8096 0L882.2784 136.704c-9.1136-9.1136-9.1136-18.3296 0-27.4432 9.1136-9.1136 18.3296-9.1136 27.4432 0l109.6704 109.6704c1.536 3.072 2.2528 5.4272 2.2528 6.8608C1023.2832 230.4 1023.2832 235.008 1021.7472 239.5136zM987.4432 488.6528c0-12.1856 6.144-18.3296 18.3296-18.3296C1017.856 470.4256 1024 476.4672 1024 488.6528l0 18.3296c0 60.928-21.2992 112.8448-64 155.4432C917.2992 705.024 865.4848 726.4256 804.5568 726.4256L61.7472 726.4256l79.9744 77.7216c9.1136 9.1136 9.1136 18.3296 0 27.4432C135.5776 834.6624 131.072 836.096 128 836.096c-3.072 0-7.5776-1.4336-13.7216-4.608L4.608 721.8176C3.072 718.7456 2.2528 716.4928 2.2528 714.9568c-1.536-4.608-1.536-9.1136 0-13.7216 0-1.4336 0.8192-3.072 2.2528-4.608L4.5056 694.3744 114.2784 584.704c9.1136-9.1136 18.3296-9.1136 27.4432 0 9.1136 9.1136 9.1136 18.3296 0 27.4432L61.7472 689.8688l742.8096 0c50.2784 0 93.2864-17.92 129.1264-53.76 35.84-35.7376 53.76-78.848 53.76-129.1264L987.4432 488.6528z" p-id="9341" fill="#dbdbdb"></path></svg>
|
After Width: | Height: | Size: 1.8 KiB |
1
images/svg/dark/verilog.backup.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg version="1.1" viewBox="0 0 24 24" xmlns="http://www.w3.org/2000/svg"><path d="m13 9h5.5l-5.5-5.5v5.5m-7-7h8l6 6v12a2 2 0 0 1 -2 2h-12c-1.11 0-2-.9-2-2v-16c0-1.11.89-2 2-2m9 16v-2h-9v2h9m3-4v-2h-12v2h12z" fill="#757575" style="fill:#757575"/><g transform="matrix(.57384 0 0 .57384 11.066 10.911)"><path d="m1.791 3.6914 10.209 17.619 10.209-17.533v-.085938h-3.9688l-6.1816 10.615-6.1523-10.615z" style="fill:#4167FF"/><path d="m5.9072 3.6914 6.1521 10.617 6.1809-10.617h-3.7228l-2.4337 4.1783-2.4226-4.1783z" style="fill:#35495e"/></g></svg>
|
After Width: | Height: | Size: 546 B |
1
images/svg/dark/verilog.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671092340934" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="2485" data-darkreader-inline-fill="" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><path d="M220.72888889 220.72888889m145.63555556 0l291.2711111 0q145.63555555 0 145.63555556 145.63555556l0 291.2711111q0 145.63555555-145.63555556 145.63555556l-291.2711111 0q-145.63555555 0-145.63555556-145.63555556l0-291.2711111q0-145.63555555 145.63555556-145.63555556Z" fill="#34d1b2" p-id="2486" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#000000;"></path><path d="M26.54814777 706.18074112a48.54518557 48.54518557 0 0 1 48.54518556-48.54518557h48.54518557a48.54518557 48.54518557 0 1 1 0 97.09037H75.09333333a48.54518557 48.54518557 0 0 1-48.54518556-48.54518443zM851.81629667 706.18074112a48.54518557 48.54518557 0 0 1 48.54518443-48.54518557h48.54518557a48.54518557 48.54518557 0 1 1 0 97.09037h-48.54518557a48.54518557 48.54518557 0 0 1-48.54518443-48.54518443zM26.54814777 512a48.54518557 48.54518557 0 0 1 48.54518556-48.54518557h48.54518557a48.54518557 48.54518557 0 1 1 0 97.09037114H75.09333333a48.54518557 48.54518557 0 0 1-48.54518556-48.54518557zM851.81629667 512a48.54518557 48.54518557 0 0 1 48.54518443-48.54518557h48.54518557a48.54518557 48.54518557 0 1 1 0 97.09037114h-48.54518557a48.54518557 48.54518557 0 0 1-48.54518443-48.54518557zM26.54814777 317.81925888a48.54518557 48.54518557 0 0 1 48.54518556-48.54518443h48.54518557a48.54518557 48.54518557 0 0 1 0 97.09037H75.09333333a48.54518557 48.54518557 0 0 1-48.54518556-48.54518557zM851.81629667 317.81925888a48.54518557 48.54518557 0 0 1 48.54518443-48.54518443h48.54518557a48.54518557 48.54518557 0 1 1 0 97.09037h-48.54518557a48.54518557 48.54518557 0 0 1-48.54518443-48.54518557zM706.18074112 26.54814777a48.54518557 48.54518557 0 0 1 48.54518443 48.54518556v48.54518557a48.54518557 48.54518557 0 1 1-97.09037 0V75.09333333a48.54518557 48.54518557 0 0 1 48.54518557-48.54518556zM706.18074112 851.81629667a48.54518557 48.54518557 0 0 1 48.54518443 48.54518443v48.54518557a48.54518557 48.54518557 0 1 1-97.09037 0v-48.54518557a48.54518557 48.54518557 0 0 1 48.54518557-48.54518443zM512 26.54814777a48.54518557 48.54518557 0 0 1 48.54518557 48.54518556v48.54518557a48.54518557 48.54518557 0 1 1-97.09037114 0V75.09333333a48.54518557 48.54518557 0 0 1 48.54518557-48.54518556zM512 851.81629667a48.54518557 48.54518557 0 0 1 48.54518557 48.54518443v48.54518557a48.54518557 48.54518557 0 1 1-97.09037114 0v-48.54518557a48.54518557 48.54518557 0 0 1 48.54518557-48.54518443zM317.81925888 26.54814777a48.54518557 48.54518557 0 0 1 48.54518557 48.54518556v48.54518557a48.54518557 48.54518557 0 0 1-97.09037 0V75.09333333a48.54518557 48.54518557 0 0 1 48.54518443-48.54518556zM317.81925888 851.81629667a48.54518557 48.54518557 0 0 1 48.54518557 48.54518443v48.54518557a48.54518557 48.54518557 0 1 1-97.09037 0v-48.54518557a48.54518557 48.54518557 0 0 1 48.54518443-48.54518443z" fill="#34d1b2" p-id="2487" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#5c605f;"></path></svg>
|
After Width: | Height: | Size: 3.2 KiB |
1
images/svg/dark/vhdl.backup.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617020798717" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="2667" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M737.365333 737.365333H286.592V286.592h450.773333m180.309334 180.309333V376.746667h-90.154667V286.592c0-50.048-40.533333-90.154667-90.154667-90.154667h-90.154666V106.282667h-90.154667v90.154666h-90.154667V106.282667H376.746667v90.154666H286.592c-50.048 0-90.154667 40.106667-90.154667 90.154667V376.746667H106.282667v90.154666h90.154666v90.154667H106.282667v90.154667h90.154666v90.154666a90.154667 90.154667 0 0 0 90.154667 90.154667H376.746667v90.154667h90.154666v-90.154667h90.154667v90.154667h90.154667v-90.154667h90.154666a90.154667 90.154667 0 0 0 90.154667-90.154667v-90.154666h90.154667v-90.154667h-90.154667v-90.154667m-270.464 90.154667h-90.154667v-90.154667h90.154667m90.154667-90.154666H376.746667v270.464h270.464z" fill="#909090" p-id="2668" data-spm-anchor-id="a313x.7781069.0.i4" class="selected"></path></svg>
|
After Width: | Height: | Size: 1.2 KiB |
1
images/svg/dark/vhdl.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671092287106" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="1872" data-darkreader-inline-fill="" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><path d="M785.51004562 785.51004562H238.43817245V238.43817245h547.07187317m218.82875024 218.82874902V347.85254756h-109.41437511V238.43817245c0-60.7397357-49.19245392-109.41437511-109.41437513-109.41437513h-109.41437391V19.60942341h-109.41437512v109.41437391h-109.41437512V19.60942341H347.85254756v109.41437391H238.43817245c-60.7397357 0-109.41437511 48.67463941-109.41437513 109.41437513V347.85254756H19.60942341v109.41437391h109.41437391v109.41437512H19.60942341v109.41437512h109.41437391v109.41437391a109.41437511 109.41437511 0 0 0 109.41437513 109.41437513H347.85254756v109.41437511h109.41437391v-109.41437511h109.41437512v109.41437511h109.41437512v-109.41437511h109.41437391a109.41437511 109.41437511 0 0 0 109.41437513-109.41437513v-109.41437391h109.41437511v-109.41437512h-109.41437511v-109.41437512m-328.24312416 109.41437512h-109.41437512v-109.41437512h109.41437512m109.41437512-109.41437391H347.85254756v328.24312415h328.24312415z" fill="#ff7043" p-id="1873" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#000000;"></path></svg>
|
After Width: | Height: | Size: 1.4 KiB |
1
images/svg/light/File Error.backup.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617022345189" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="35686" data-spm-anchor-id="a313x.7781069.0.i30" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M529.066667 921.6H17.066667c-10.24 0-17.066667-6.826667-17.066667-17.066667v-614.4c0-3.413333 3.413333-10.24 3.413333-13.653333l273.066667-273.066667c3.413333 0 10.24-3.413333 13.653333-3.413333h443.733334c10.24 0 17.066667 6.826667 17.066666 17.066667v512c0 10.24-6.826667 17.066667-17.066666 17.066666s-17.066667-6.826667-17.066667-17.066666V34.133333H296.96L34.133333 296.96V887.466667h494.933334c10.24 0 17.066667 6.826667 17.066666 17.066666s-6.826667 17.066667-17.066666 17.066667z" fill="#d81e06" p-id="35687" data-spm-anchor-id="a313x.7781069.0.i31" class="selected"></path><path d="M290.133333 307.2h-273.066666C6.826667 307.2 0 300.373333 0 290.133333S6.826667 273.066667 17.066667 273.066667H273.066667V17.066667c0-10.24 6.826667-17.066667 17.066666-17.066667s17.066667 6.826667 17.066667 17.066667v273.066666c0 10.24-6.826667 17.066667-17.066667 17.066667zM802.133333 887.466667c-10.24 0-17.066667-6.826667-17.066666-17.066667v-170.666667c0-10.24 6.826667-17.066667 17.066666-17.066666s17.066667 6.826667 17.066667 17.066666v170.666667c0 10.24-6.826667 17.066667-17.066667 17.066667z" fill="#d81e06" p-id="35688" data-spm-anchor-id="a313x.7781069.0.i32" class="selected"></path><path d="M802.133333 1024c-122.88 0-221.866667-98.986667-221.866666-221.866667s98.986667-221.866667 221.866666-221.866666 221.866667 98.986667 221.866667 221.866666-98.986667 221.866667-221.866667 221.866667z m0-409.6c-102.4 0-187.733333 85.333333-187.733333 187.733333s85.333333 187.733333 187.733333 187.733334 187.733333-85.333333 187.733334-187.733334-85.333333-187.733333-187.733334-187.733333z" fill="#d81e06" p-id="35689" data-spm-anchor-id="a313x.7781069.0.i29" class="selected"></path><path d="M802.133333 955.733333c-10.24 0-17.066667-6.826667-17.066666-17.066666s6.826667-17.066667 17.066666-17.066667 17.066667 6.826667 17.066667 17.066667-6.826667 17.066667-17.066667 17.066666z" fill="#d81e06" p-id="35690" data-spm-anchor-id="a313x.7781069.0.i33" class="selected"></path></svg>
|
After Width: | Height: | Size: 2.3 KiB |
1
images/svg/light/File Error.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671961732141" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="1213" data-darkreader-inline-fill="" width="260" height="260" xmlns:xlink="http://www.w3.org/1999/xlink"><path d="M688 312v-48c0-4.4-3.6-8-8-8H296c-4.4 0-8 3.6-8 8v48c0 4.4 3.6 8 8 8h384c4.4 0 8-3.6 8-8z m-392 88c-4.4 0-8 3.6-8 8v48c0 4.4 3.6 8 8 8h184c4.4 0 8-3.6 8-8v-48c0-4.4-3.6-8-8-8H296z m376 116c-119.3 0-216 96.7-216 216s96.7 216 216 216 216-96.7 216-216-96.7-216-216-216z m107.5 323.5C750.8 868.2 712.6 884 672 884s-78.8-15.8-107.5-44.5C535.8 810.8 520 772.6 520 732s15.8-78.8 44.5-107.5C593.2 595.8 631.4 580 672 580s78.8 15.8 107.5 44.5C808.2 653.2 824 691.4 824 732s-15.8 78.8-44.5 107.5zM640 812a32 32 0 1 0 64 0 32 32 0 1 0-64 0z m12-64h40c4.4 0 8-3.6 8-8V628c0-4.4-3.6-8-8-8h-40c-4.4 0-8 3.6-8 8v112c0 4.4 3.6 8 8 8zM440 852H208V148h560v344c0 4.4 3.6 8 8 8h56c4.4 0 8-3.6 8-8V108c0-17.7-14.3-32-32-32H168c-17.7 0-32 14.3-32 32v784c0 17.7 14.3 32 32 32h272c4.4 0 8-3.6 8-8v-56c0-4.4-3.6-8-8-8z" p-id="1214" fill="#d81e06" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#b0230f;"></path></svg>
|
After Width: | Height: | Size: 1.2 KiB |
1
images/svg/light/SerialPort.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1589782143850" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="2308" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M512 0a512 512 0 1 0 512 512A512 512 0 0 0 512 0z m-34.5088 992.0512v-199.2704h69.0176v199.2704c-11.4176 0.8192-22.8864 1.2288-34.5088 1.2288s-23.0912-0.4608-34.5088-1.2288zM273.8688 431.2064h19.1488v226.9696h-19.1488z m-30.72-30.72h-10.8544v-19.1488h559.4112v19.1488H243.1488zM629.76 350.6176H394.24V281.6h235.52z m101.376 80.5888h19.1488v226.9696h-19.1488z m-362.496 0h286.72v197.1712l-65.792 133.6832H434.2784L368.64 628.3776z m208.7424 557.6192v-196.0448h31.5904L686.08 635.5456V431.2064h14.0288v257.6896h80.5888V431.2064h41.5744V350.6176H757.76v-70.656h-34.8672v70.656H660.48V250.88H363.52v99.7376H300.8512v-70.656H266.24v70.656H201.5744v80.5888h41.5744v257.6896h80.5888V431.2064H337.92v204.3392l77.4144 157.2352h31.5904v196.0448a481.28 481.28 0 1 1 130.4576 0z" p-id="2309" fill="#dbdbdb"></path></svg>
|
After Width: | Height: | Size: 1.2 KiB |
1
images/svg/light/add-cloud.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1631199687291" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="13913" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M768 785.066667H213.333333c-39.816533 0-74.308267-14.318933-102.519466-42.5472C82.5856 714.308267 68.266667 679.799467 68.266667 640s14.318933-74.308267 42.5472-102.519467C139.025067 509.252267 173.5168 494.933333 213.333333 494.933333c8.942933 0 17.6128 0.7168 25.9584 2.116267 3.3792-68.625067 30.1568-128.443733 79.7184-178.0224C372.1728 265.8816 437.0944 238.933333 512 238.933333c74.922667 0 139.8272 26.948267 192.9728 80.093867 26.88 26.897067 47.3088 57.326933 60.859733 90.589867L768 409.6c51.6096 0 96.4096 18.295467 133.137067 54.391467C937.437867 500.923733 955.733333 545.723733 955.733333 597.333333c0 51.677867-18.3296 96.221867-54.493866 132.386134C864.529067 766.446933 819.694933 785.066667 768 785.066667zM213.333333 529.066667c-30.856533 0-56.490667 10.6496-78.370133 32.546133C113.0496 583.509333 102.4 609.143467 102.4 640s10.6496 56.490667 32.546133 78.3872S182.4768 750.933333 213.333333 750.933333H768c42.922667 0 78.592-14.830933 109.1072-45.346133C907.042133 675.6352 921.6 640.238933 921.6 597.333333c0-42.9568-14.574933-78.677333-44.5952-109.2096C846.660267 458.325333 810.9568 443.733333 768 443.733333a97.28 97.28 0 0 0-11.5712 0.7168 17.134933 17.134933 0 0 1-18.193067-11.3152c-11.605333-33.194667-30.907733-63.470933-57.3952-89.975466C633.668267 295.9872 578.4576 273.066667 512 273.066667s-121.668267 22.920533-168.840533 70.0928S273.066667 445.5424 273.066667 512v6.826667a17.066667 17.066667 0 0 1-22.6816 16.128A112.247467 112.247467 0 0 0 213.333333 529.066667z" p-id="13914"></path><path d="M515.413333 671.573333a34.133333 34.133333 0 0 1-34.133333-34.133333v-51.2h-51.2a34.133333 34.133333 0 0 1 0-68.266667h51.2v-51.2a34.133333 34.133333 0 0 1 68.266667 0v51.2h51.2a34.133333 34.133333 0 0 1 0 68.266667h-51.2v51.2a34.133333 34.133333 0 0 1-34.133334 34.133333z" p-id="13915"></path></svg>
|
After Width: | Height: | Size: 2.2 KiB |
1
images/svg/light/add-file.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1631199366787" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="7526" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M697.6 540.032H544v-153.6c0-2.432-2.88-4.48-6.4-4.48h-51.2c-3.52 0-6.4 2.048-6.4 4.48v153.6H326.4c-2.432 0-4.416 2.816-4.416 6.4v51.2c0 3.52 2.048 6.4 4.48 6.4H480v153.6c0 2.368 2.88 4.352 6.4 4.352h51.2c3.52 0 6.4-1.984 6.4-4.416v-153.6h153.6c2.432 0 4.416-2.816 4.416-6.4v-51.2c0-3.52-1.984-6.4-4.48-6.4z m152.128-261.952L657.472 92.16l-1.28-1.088a68.032 68.032 0 0 0-44.928-17.024H217.984c-37.504 0-67.968 30.464-67.968 68.032V881.92c0 37.568 30.464 67.968 67.968 68.032h585.984c37.568 0 67.968-30.464 68.032-68.032V328.448c0-19.2-8.064-37.44-22.272-50.368z m-50.56 51.328L634.24 329.088V169.856l164.864 159.552z m-577.152 548.608V145.92H570.24v183.04c0 35.328 28.544 63.936 63.872 64l165.824 0.384v484.608H222.016z" p-id="7527"></path></svg>
|
After Width: | Height: | Size: 1.1 KiB |
5
images/svg/light/add.svg
Normal file
@ -0,0 +1,5 @@
|
|||||||
|
<svg width="16" height="16" xmlns="http://www.w3.org/2000/svg">
|
||||||
|
<title>Layer 1</title>
|
||||||
|
<rect height="11" width="3" y="3" x="7" fill="#C5C5C5" />
|
||||||
|
<rect height="3" width="11" y="7" x="3" fill="#C5C5C5" />
|
||||||
|
</svg>
|
After Width: | Height: | Size: 221 B |
1
images/svg/light/bd.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<svg t="1700403751622" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="4863" width="200" height="200"><path d="M64 764.330667a85.333333 85.333333 0 0 1 0-120.661334L643.669333 64a85.333333 85.333333 0 0 1 120.661334 0L938.666667 238.336a85.333333 85.333333 0 0 1 0 120.661333L358.997333 938.666667a85.333333 85.333333 0 0 1-120.661333 0L64 764.330667z m640-640L124.330667 704 298.666667 878.336 878.336 298.666667 704 124.330667zM392.832 222.165333a42.666667 42.666667 0 0 0 0-60.330666L294.997333 64a85.333333 85.333333 0 0 0-120.661333 0L64 174.336a85.333333 85.333333 0 0 0 0 120.661333l97.834667 97.834667a42.666667 42.666667 0 0 0 60.330666-60.330667L124.330667 234.666667 234.666667 124.330667l97.834666 97.834666a42.666667 42.666667 0 0 0 60.330667 0z m387.669333 448l94.165334 94.165334V874.666667h-110.336l-94.165334-94.165334a42.666667 42.666667 0 0 0-60.330666 60.330667l94.165333 94.165333a85.333333 85.333333 0 0 0 60.330667 25.002667H874.666667a85.333333 85.333333 0 0 0 85.333333-85.333333v-110.336a85.333333 85.333333 0 0 0-25.002667-60.330667l-94.165333-94.165333a42.666667 42.666667 0 0 0-60.330667 60.330666z" fill="#d4237a" p-id="4864"></path><path d="M210.304 512a42.666667 42.666667 0 0 1 60.330667 0l60.330666 60.330667a42.666667 42.666667 0 0 1-60.330666 60.373333L210.346667 572.288a42.666667 42.666667 0 0 1 0-60.330667zM361.130667 361.130667a42.666667 42.666667 0 0 1 60.373333 0l60.330667 60.373333a42.666667 42.666667 0 0 1-60.330667 60.330667L361.130667 421.504a42.666667 42.666667 0 0 1 0-60.373333zM512 210.304a42.666667 42.666667 0 0 1 60.330667 0l60.330666 60.330667a42.666667 42.666667 0 0 1-60.330666 60.330666L512 270.634667a42.666667 42.666667 0 0 1 0-60.330667z" fill="#d4237a" p-id="4865"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/light/bin.svg
Normal file
After Width: | Height: | Size: 8.6 KiB |
1
images/svg/light/bit.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1614818130537" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="11253" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M512 1024C229.248 1024 0 794.752 0 512S229.248 0 512 0s512 229.248 512 512-229.248 512-512 512z m-48.810667-466.346667c0-55.125333-32.554667-86.144-79.274666-86.144-14.549333 0-27.178667 3.072-36.778667 9.557334V407.552c0-13.781333-8.405333-20.693333-25.642667-20.693333s-25.642667 6.912-25.642666 20.693333v193.408c0 13.013333 0 25.642667 17.621333 35.626667 15.317333 8.789333 35.242667 13.013333 56.661333 13.013333 59.733333 0 93.056-36.010667 93.056-91.904z m-116.053333 44.8v-81.962666a39.381333 39.381333 0 0 1 24.106667-7.68c23.722667 0 39.424 17.621333 39.424 48.256 0 30.250667-15.317333 47.104-40.192 47.104-8.789333 0-16.853333-1.92-23.381334-5.76z m211.968-181.504c0-17.621333-12.629333-29.482667-30.250667-29.482666s-30.250667 11.861333-30.250666 29.482666c0 17.621333 12.629333 29.866667 30.250666 29.866667s30.250667-12.245333 30.250667-29.866667z m-4.608 71.210667c0-13.781333-8.405333-20.693333-25.642667-20.693333s-25.642667 6.912-25.642666 20.693333v135.936c0 13.781333 8.405333 20.693333 25.642666 20.693333s25.642667-6.912 25.642667-20.693333v-135.936z m155.306667 110.677333c-3.072 0-5.76 0.768-8.789334 1.536-3.456 0.768-7.68 1.92-11.861333 1.92-6.528 0-11.477333-2.304-14.165333-7.68-3.456-6.528-3.456-16.469333-3.456-23.381333v-57.429333h32.170666c13.781333 0 20.693333-6.912 20.693334-21.461334s-6.912-21.461333-20.693334-21.461333h-32.170666v-33.706667c0-13.781333-8.405333-20.693333-25.642667-20.693333s-25.642667 6.912-25.642667 20.693333v33.706667h-13.397333c-13.781333 0-20.693333 6.912-20.693333 21.461333s6.912 21.461333 20.693333 21.461334h13.397333v57.429333c0 17.621333 0.768 34.090667 8.405334 47.872 8.405333 15.317333 24.874667 26.410667 52.48 26.410667 14.933333 0 29.482667-3.456 37.930666-8.405334 7.296-4.224 9.173333-9.557333 9.173334-14.933333 0-8.405333-4.224-23.381333-18.389334-23.381333z" p-id="11254" data-spm-anchor-id="a313x.7781069.0.i15" class="selected" fill="#1296db"></path></svg>
|
After Width: | Height: | Size: 2.3 KiB |
1
images/svg/light/boot.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588905047953" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="12526" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M512.283 964.685c-229.223 0-415.712-186.489-415.712-415.712 0-163.072 96.27-311.757 245.275-378.794 16.511-7.439 35.904-0.075 43.354 16.458 7.428 16.511 0.064 35.915-16.458 43.354-125.503 56.46-206.597 181.665-206.597 318.983 0 193.063 157.074 350.137 350.137 350.137s350.137-157.074 350.137-350.137c0-137.201-81.008-262.374-206.372-318.887-16.511-7.45-23.865-26.864-16.426-43.364 7.45-16.522 26.875-23.833 43.364-16.426 148.835 67.09 245.009 215.733 245.009 378.677 0.002 229.222-186.487 415.711-415.711 415.711z" p-id="12527" fill="#515151"></path><path d="M565.242 493.987c0 29.244-23.714 52.947-52.958 52.947s-52.938-23.703-52.938-52.947V117.4c0-29.242 23.694-52.947 52.938-52.947 29.244 0 52.958 23.705 52.958 52.947v376.587z" p-id="12528" fill="#515151"></path></svg>
|
After Width: | Height: | Size: 1.1 KiB |
1
images/svg/light/branch.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588929637376" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="20434" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M833.6384 111.4112C833.6384 52.736 786.0224 5.12 727.3472 5.12S621.056 52.736 621.056 111.4112c0 53.248 39.2192 97.28 90.3168 104.96v82.8416c0 13.9264-6.0416 27.0336-16.6912 36.0448L314.9824 621.6704V216.4736c51.0976-7.7824 90.3168-51.712 90.3168-104.96C405.2992 52.736 357.6832 5.12 299.008 5.12S192.7168 52.736 192.7168 111.4112c0 53.248 39.2192 97.28 90.3168 104.96v591.1552c-51.0976 7.7824-90.3168 51.712-90.3168 104.96 0 58.6752 47.616 106.2912 106.2912 106.2912s106.2912-47.616 106.2912-106.2912c0-53.248-39.2192-97.28-90.3168-104.96V661.8112l399.2576-301.1584 0.6144-0.512c18.0224-15.1552 28.4672-37.2736 28.4672-60.928v-82.8416c51.0976-7.68 90.3168-51.712 90.3168-104.96z" p-id="20435" fill="#515151"></path></svg>
|
After Width: | Height: | Size: 1.1 KiB |
1
images/svg/light/celllib.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617022074131" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="30987" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M800 944c0 8.8-7.2 16-16 16H240c-8.8 0-16-7.2-16-16V80c0-8.8 7.2-16 16-16h544c8.8 0 16 7.2 16 16v864zM224 0c-35.3 0-64 28.7-64 64v896c0 35.3 28.7 64 64 64h576c35.3 0 64-28.7 64-64V64c0-35.3-28.7-64-64-64H224zM144 100v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 276v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 452v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 628v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 804v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM1024 128v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V100c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 304v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V276c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 480v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V452c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 656v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V628c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 832v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V804c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64z" p-id="30988" data-spm-anchor-id="a313x.7781069.0.i27" class="selected" fill="#2c2c2c"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/light/cells.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617022074131" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="30987" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M800 944c0 8.8-7.2 16-16 16H240c-8.8 0-16-7.2-16-16V80c0-8.8 7.2-16 16-16h544c8.8 0 16 7.2 16 16v864zM224 0c-35.3 0-64 28.7-64 64v896c0 35.3 28.7 64 64 64h576c35.3 0 64-28.7 64-64V64c0-35.3-28.7-64-64-64H224zM144 100v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 276v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 452v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 628v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM144 804v120c0 2.2-1.8 4-4 4H80c-8.8 0-16-7.2-16-16v-16H0v-64h64v-16c0-8.8 7.2-16 16-16h60c2.2 0 4 1.8 4 4zM1024 128v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V100c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 304v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V276c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 480v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V452c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 656v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V628c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64zM1024 832v64h-64v16c0 8.8-7.2 16-16 16h-60c-2.2 0-4-1.8-4-4V804c0-2.2 1.8-4 4-4h60c8.8 0 16 7.2 16 16v16h64z" p-id="30988" data-spm-anchor-id="a313x.7781069.0.i27" class="selected" fill="#2c2c2c"></path></svg>
|
After Width: | Height: | Size: 1.7 KiB |
1
images/svg/light/chip.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1671091977291" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="12143" data-darkreader-inline-fill="" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><path d="M737.365333 737.365333H286.592V286.592h450.773333m180.309334 180.309333V376.746667h-90.154667V286.592c0-50.048-40.533333-90.154667-90.154667-90.154667h-90.154666V106.282667h-90.154667v90.154666h-90.154667V106.282667H376.746667v90.154666H286.592c-50.048 0-90.154667 40.106667-90.154667 90.154667V376.746667H106.282667v90.154666h90.154666v90.154667H106.282667v90.154667h90.154666v90.154666a90.154667 90.154667 0 0 0 90.154667 90.154667H376.746667v90.154667h90.154666v-90.154667h90.154667v90.154667h90.154667v-90.154667h90.154666a90.154667 90.154667 0 0 0 90.154667-90.154667v-90.154666h90.154667v-90.154667h-90.154667v-90.154667m-270.464 90.154667h-90.154667v-90.154667h90.154667m90.154667-90.154666H376.746667v270.464h270.464z" fill="#FF7043" p-id="12144" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#a9320b;"></path></svg>
|
After Width: | Height: | Size: 1.2 KiB |
1
images/svg/light/clean.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588861195341" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="4443" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M609.3 119.6H415.7c-15.5 0-28.1-12.6-28.1-28.1s12.6-28.1 28.1-28.1h193.6c15.5 0 28.1 12.6 28.1 28.1s-12.6 28.1-28.1 28.1z" fill="#515151" p-id="4444"></path><path d="M933.4 265H91.6c-15.5 0-28.1-12.6-28.1-28.1s12.6-28.1 28.1-28.1h841.9c15.5 0 28.1 12.6 28.1 28.1S948.9 265 933.4 265z" fill="#515151" p-id="4445"></path><path d="M665.3 963.6H359.7c-67 0-121.4-55.8-121.4-124.4l-56-584.7c-1.5-15.4 9.8-29.1 25.3-30.6 15.4-1.5 29.1 9.8 30.6 25.3l56.1 587.4c0.1 40.3 29.4 70.9 65.4 70.9h305.7c36 0 65.3-30.6 65.3-68.3L787 249.1c1.5-15.4 14.9-26.6 30.6-25.3 15.4 1.5 26.7 15.2 25.3 30.6l-56.1 587.4c0 66.1-54.5 121.8-121.5 121.8z" fill="#515151" p-id="4446"></path><path d="M430.5 815.4c-14.6 0-27-11.3-28-26.2l-28.1-417.5c-1-15.5 10.6-28.8 26.1-29.9 15.1-1.2 28.8 10.7 29.9 26.1l28.1 417.5c1 15.5-10.6 28.9-26.1 29.9-0.6 0.1-1.2 0.1-1.9 0.1z" fill="#515151" p-id="4447"></path><path d="M590.1 815.4c-0.6 0-1.3 0-1.9-0.1-15.5-1-27.2-14.4-26.1-29.9l28-417.4c1.1-15.5 14-27.4 29.9-26.1 15.5 1 27.2 14.4 26.1 29.9L618 789.3c-1 14.8-13.3 26.1-27.9 26.1z" fill="#515151" p-id="4448"></path></svg>
|
After Width: | Height: | Size: 1.4 KiB |
1
images/svg/light/cmd.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1588607429064" class="icon" viewBox="0 0 1170 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="3876" xmlns:xlink="http://www.w3.org/1999/xlink" width="228.515625" height="200"><defs><style type="text/css"></style></defs><path d="M0 73.142857v877.714286a73.142857 73.142857 0 0 0 73.142857 73.142857h1024a73.142857 73.142857 0 0 0 73.142857-73.142857V73.142857a73.142857 73.142857 0 0 0-73.142857-73.142857H73.142857a73.142857 73.142857 0 0 0-73.142857 73.142857z m1104.969143 886.857143H65.316571V64h1039.652572v896zM453.632 512l-207.725714-203.629714a31.744 31.744 0 0 1 0-45.348572 32.987429 32.987429 0 0 1 46.299428 0l200.557715 196.754286a73.142857 73.142857 0 0 1 0 104.448l-177.737143 174.08a65.828571 65.828571 0 0 1-92.16 0L453.558857 512z m461.824 213.211429H587.629714a31.963429 31.963429 0 1 1 0-64h327.826286a31.963429 31.963429 0 1 1 0 64z" fill="#2c2c2c" p-id="3877"></path></svg>
|
After Width: | Height: | Size: 1.0 KiB |
1
images/svg/light/current top.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617021779982" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="13735" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M276.6 685.1h-107c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4h-107c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM570 685.1H463c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H463c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM855 685.1H748c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H748c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM214.8 635.1c16 0 28.9-12.9 28.9-28.9v-53h239.9v49.4c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-49.4h238.5v53c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-81.9c0-16-12.9-28.9-28.9-28.9H541.3v-63.8h99.3c39.8 0 72.2-32.4 72.2-72.2V169.6c0-39.8-32.4-72.2-72.2-72.2H384.2c-39.8 0-72.2 32.4-72.2 72.2v189.8c0 39.8 32.4 72.2 72.2 72.2h99.3v63.8H214.8c-16 0-28.9 12.9-28.9 28.9v81.9c0 15.9 12.9 28.9 28.9 28.9z m155-275.7V169.6c0-7.8 6.6-14.4 14.4-14.4h256.4c7.8 0 14.4 6.6 14.4 14.4v189.8c0 7.8-6.6 14.4-14.4 14.4H384.2c-7.8 0-14.4-6.6-14.4-14.4z" p-id="13736" data-spm-anchor-id="a313x.7781069.0.i23" class="selected" fill="#1afa29"></path></svg>
|
After Width: | Height: | Size: 1.8 KiB |
1
images/svg/light/current-sim-top.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1673280167348" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="8326" data-darkreader-inline-fill="" width="500" height="500" xmlns:xlink="http://www.w3.org/1999/xlink"><path d="M857.7 732.7l-1.8-20.4-1.1-11.2c-25.7-129-63.9-162.4-93.5-209.5l-1.8-2.1 0.1-12.7-0.2-13.7c-1.1-50-8-97.1-20.9-141.4-39.7-106.9-103.7-139.9-196.4-185.4-19.9-9.8-43.1-9.9-63.1-0.3l-7.9 4-7.9 4.2c-83.5 45.7-138.2 74.2-176.9 174.1l-2.9 11.1-2.9 12.4c-8.3 37.3-12.5 86.8-12.6 128.4v7.8l-1.9 1.5-3.2 2.7c-40.6 42.8-76.3 90.2-96.6 249.6l-0.1 2.6 0.2 2.7c2.1 21 25.3 34.6 45 24.5l150-65.6 4.6 1c46.2 9.4 103.1 14.3 150.2 14.7l15.7-0.1 15.8-0.4c31.7-1.1 73.2-4.3 104.4-9.6l5-0.9 156.1 61.1 2.7 1.3c20.8 8.7 43.8-7.4 41.9-30.4z m-182.2-91l-2.7-1.3c-5.5-2.2-11.6-2.9-17.5-1.8-42.8 8.1-96.2 12.1-139.7 11.8-48-0.4-105.9-6-152.7-16.5l-3-0.5-3-0.2c-5.1-0.1-10.1 1-14.6 3.3l-109.9 45 1.6-14.9c29-107.5 60.8-128.8 76.4-142.7l4.8-2.4c9.6-5.6 15.3-16 14.8-27.1-2-53.9 3.4-113.6 15.9-158.9 31-82.6 81.8-103.5 154.1-140.7l7.2-3.6 1.6-0.6c1.8-0.4 3.6-0.4 5.3 0.1l1.7 0.7 7.3 3.7c72.3 37.8 123.6 56.1 156.5 143 14.3 49.2 20.4 102.9 18.1 161.2-0.3 8.9 3.3 17.6 9.8 23.7l2.1 2.1 2.3 2.5c8.4 12.5 51.3 44.7 77.8 144.1l1.2 9.9-115.4-39.9z" fill="#f4ea00" p-id="8327" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#dbcf19;"></path><path d="M510.5 322.9c-64.9 0-117.6 52.4-117.6 117.1s52.6 117.1 117.6 117.1c64.9 0 117.6-52.4 117.6-117.1s-52.7-117.1-117.6-117.1zM563.9 440c-0.1 29.5-24 53.3-53.5 53.2-29.5 0.1-53.4-23.8-53.4-53.2 0.1-29.5 24-53.3 53.5-53.2 29.5-0.1 53.4 23.8 53.4 53.2z" fill="#f4ea00" p-id="8328" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#dbcf19;"></path><path d="M518.2 895.1c-16.8 0-43.8-14.6-61.7-29-30.5-24.5-49.1-56.5-53.9-92.8-2.3-17.5 10-33.6 27.5-35.9 17.5-2.3 33.6 10 35.9 27.5 2.4 18.4 11.5 34.6 27 48.2 9.7 8.5 19.4 13.8 25.3 16.4 5.7-2.5 14.8-7.4 24.1-15.5 15.5-13.5 25-30.4 28.1-50 2.8-17.5 19.2-29.4 36.6-26.6 17.5 2.8 29.4 19.2 26.6 36.6-7.4 46.7-34 76.4-55.1 93.1-20.3 16.3-45.8 28-60.4 28z m5.1-63.5z m-10.2 0z" fill="#f4ea00" p-id="8329" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#dbcf19;"></path></svg>
|
After Width: | Height: | Size: 2.3 KiB |
1
images/svg/light/current-src-top.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1673280167348" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="8326" data-darkreader-inline-fill="" width="500" height="500" xmlns:xlink="http://www.w3.org/1999/xlink"><path d="M857.7 732.7l-1.8-20.4-1.1-11.2c-25.7-129-63.9-162.4-93.5-209.5l-1.8-2.1 0.1-12.7-0.2-13.7c-1.1-50-8-97.1-20.9-141.4-39.7-106.9-103.7-139.9-196.4-185.4-19.9-9.8-43.1-9.9-63.1-0.3l-7.9 4-7.9 4.2c-83.5 45.7-138.2 74.2-176.9 174.1l-2.9 11.1-2.9 12.4c-8.3 37.3-12.5 86.8-12.6 128.4v7.8l-1.9 1.5-3.2 2.7c-40.6 42.8-76.3 90.2-96.6 249.6l-0.1 2.6 0.2 2.7c2.1 21 25.3 34.6 45 24.5l150-65.6 4.6 1c46.2 9.4 103.1 14.3 150.2 14.7l15.7-0.1 15.8-0.4c31.7-1.1 73.2-4.3 104.4-9.6l5-0.9 156.1 61.1 2.7 1.3c20.8 8.7 43.8-7.4 41.9-30.4z m-182.2-91l-2.7-1.3c-5.5-2.2-11.6-2.9-17.5-1.8-42.8 8.1-96.2 12.1-139.7 11.8-48-0.4-105.9-6-152.7-16.5l-3-0.5-3-0.2c-5.1-0.1-10.1 1-14.6 3.3l-109.9 45 1.6-14.9c29-107.5 60.8-128.8 76.4-142.7l4.8-2.4c9.6-5.6 15.3-16 14.8-27.1-2-53.9 3.4-113.6 15.9-158.9 31-82.6 81.8-103.5 154.1-140.7l7.2-3.6 1.6-0.6c1.8-0.4 3.6-0.4 5.3 0.1l1.7 0.7 7.3 3.7c72.3 37.8 123.6 56.1 156.5 143 14.3 49.2 20.4 102.9 18.1 161.2-0.3 8.9 3.3 17.6 9.8 23.7l2.1 2.1 2.3 2.5c8.4 12.5 51.3 44.7 77.8 144.1l1.2 9.9-115.4-39.9z" fill="#1afa29" p-id="8327" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#1ec649;"></path><path d="M510.5 322.9c-64.9 0-117.6 52.4-117.6 117.1s52.6 117.1 117.6 117.1c64.9 0 117.6-52.4 117.6-117.1s-52.7-117.1-117.6-117.1zM563.9 440c-0.1 29.5-24 53.3-53.5 53.2-29.5 0.1-53.4-23.8-53.4-53.2 0.1-29.5 24-53.3 53.5-53.2 29.5-0.1 53.4 23.8 53.4 53.2z" fill="#1afa29" p-id="8328" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#1ec649;"></path><path d="M518.2 895.1c-16.8 0-43.8-14.6-61.7-29-30.5-24.5-49.1-56.5-53.9-92.8-2.3-17.5 10-33.6 27.5-35.9 17.5-2.3 33.6 10 35.9 27.5 2.4 18.4 11.5 34.6 27 48.2 9.7 8.5 19.4 13.8 25.3 16.4 5.7-2.5 14.8-7.4 24.1-15.5 15.5-13.5 25-30.4 28.1-50 2.8-17.5 19.2-29.4 36.6-26.6 17.5 2.8 29.4 19.2 26.6 36.6-7.4 46.7-34 76.4-55.1 93.1-20.3 16.3-45.8 28-60.4 28z m5.1-63.5z m-10.2 0z" fill="#1afa29" p-id="8329" data-darkreader-inline-fill="" style="--darkreader-inline-fill:#1ec649;"></path></svg>
|
After Width: | Height: | Size: 2.3 KiB |
1
images/svg/light/currentSimTop.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617021779982" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="13735" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M276.6 685.1h-107c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4h-107c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM570 685.1H463c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H463c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM855 685.1H748c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H748c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM214.8 635.1c16 0 28.9-12.9 28.9-28.9v-53h239.9v49.4c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-49.4h238.5v53c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-81.9c0-16-12.9-28.9-28.9-28.9H541.3v-63.8h99.3c39.8 0 72.2-32.4 72.2-72.2V169.6c0-39.8-32.4-72.2-72.2-72.2H384.2c-39.8 0-72.2 32.4-72.2 72.2v189.8c0 39.8 32.4 72.2 72.2 72.2h99.3v63.8H214.8c-16 0-28.9 12.9-28.9 28.9v81.9c0 15.9 12.9 28.9 28.9 28.9z m155-275.7V169.6c0-7.8 6.6-14.4 14.4-14.4h256.4c7.8 0 14.4 6.6 14.4 14.4v189.8c0 7.8-6.6 14.4-14.4 14.4H384.2c-7.8 0-14.4-6.6-14.4-14.4z" p-id="13736" data-spm-anchor-id="a313x.7781069.0.i23" class="selected" fill="#FFFF00"></path></svg>
|
After Width: | Height: | Size: 1.8 KiB |
1
images/svg/light/currentSrcTop.svg
Normal file
@ -0,0 +1 @@
|
|||||||
|
<?xml version="1.0" standalone="no"?><!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd"><svg t="1617021779982" class="icon" viewBox="0 0 1024 1024" version="1.1" xmlns="http://www.w3.org/2000/svg" p-id="13735" xmlns:xlink="http://www.w3.org/1999/xlink" width="200" height="200"><defs><style type="text/css"></style></defs><path d="M276.6 685.1h-107c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4h-107c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM570 685.1H463c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H463c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM855 685.1H748c-39.8 0-72.2 32.4-72.2 72.2V855c0 39.8 32.4 72.2 72.2 72.2h107c39.8 0 72.2-32.4 72.2-72.2v-97.7c0-39.8-32.4-72.2-72.2-72.2z m14.4 170c0 7.8-6.6 14.4-14.4 14.4H748c-7.8 0-14.4-6.6-14.4-14.4v-97.7c0-7.8 6.6-14.4 14.4-14.4h107c7.8 0 14.4 6.6 14.4 14.4v97.7zM214.8 635.1c16 0 28.9-12.9 28.9-28.9v-53h239.9v49.4c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-49.4h238.5v53c0 16 12.9 28.9 28.9 28.9 16 0 28.9-12.9 28.9-28.9v-81.9c0-16-12.9-28.9-28.9-28.9H541.3v-63.8h99.3c39.8 0 72.2-32.4 72.2-72.2V169.6c0-39.8-32.4-72.2-72.2-72.2H384.2c-39.8 0-72.2 32.4-72.2 72.2v189.8c0 39.8 32.4 72.2 72.2 72.2h99.3v63.8H214.8c-16 0-28.9 12.9-28.9 28.9v81.9c0 15.9 12.9 28.9 28.9 28.9z m155-275.7V169.6c0-7.8 6.6-14.4 14.4-14.4h256.4c7.8 0 14.4 6.6 14.4 14.4v189.8c0 7.8-6.6 14.4-14.4 14.4H384.2c-7.8 0-14.4-6.6-14.4-14.4z" p-id="13736" data-spm-anchor-id="a313x.7781069.0.i23" class="selected" fill="#33FF33"></path></svg>
|
After Width: | Height: | Size: 1.8 KiB |