digital-ide/package.nls.ja.json

110 lines
12 KiB
JSON
Raw Blame History

This file contains ambiguous Unicode characters

This file contains Unicode characters that might be confused with other characters. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

{
"digital-ide.property-json.generate.title": "property.json 設定ファイルを生成",
"digital-ide.property-json.overwrite.title": "デフォルトの property.json テンプレートファイルを変更",
"digital-ide.hdlDoc.exportFile.title": "現在のファイルのドキュメントをエクスポート",
"digital-ide.hdlDoc.exportProject.title": "現在のプロジェクトのドキュメントをエクスポート",
"digital-ide.hdlDoc.showWebview.title": "webview でドキュメントを表示",
"digital-ide.tool.instance.title": "選択したモジュールのインスタンス化テンプレートを生成",
"digital-ide.tool.testbench.title": "現在のファイルからモジュールを選択して testbench を生成",
"digital-ide.tool.icarus.simulateFile.title": "現在のファイルをシミュレーション",
"digital-ide.treeView.arch.expand.title": "ビュー内のすべての項目を展開",
"digital-ide.treeView.arch.collapse.title": "ビュー内のすべての項目を折りたたむ",
"digital-ide.treeView.arch.refresh.title": "ツリービューを更新",
"digital-ide.treeView.arch.openFile.title": "ツリービューで対応するファイルを開く",
"digital-ide.tool.clean.title": "現在のプロジェクトをクリーンアップ",
"digital-ide.soft.launch.title": "SDK開発支援機能を起動",
"digital-ide.soft.build.title": "現在のプロジェクトのSDKを構築",
"digital-ide.soft.download.title": "ファイルをデバイスにダウンロード",
"digital-ide.hard.launch.title": "FPGA開発支援機能を起動",
"digital-ide.hard.simulate.title": "生産シミュレーションを起動",
"digital-ide.hard.simulate.cli.title": "CLI",
"digital-ide.hard.simulate.gui.title": "GUI",
"digital-ide.hard.refresh.title": "現在のプロジェクトファイルを更新",
"digital-ide.hard.build.title": "現在のプロジェクトをFPGA構築",
"digital-ide.hard.build.synth.title": "現在のプロジェクトをSynth",
"digital-ide.hard.build.impl.title": "現在のプロジェクトをImpl",
"digital-ide.hard.build.bitstream.title": "いくつかのファイルを生成",
"digital-ide.hard.program.title": "ファイルをデバイスにダウンロード",
"digital-ide.hard.gui.title": "インターフェースを開く",
"digital-ide.hard.exit.title": "現在のプロジェクトを終了",
"digital-ide.pickLibrary.title": "ライブラリファイルを選択",
"digital-ide.pl.setSrcTop.title": "src のトップファイルとして設定",
"digital-ide.pl.setSimTop.title": "sim のトップファイルとして設定",
"digital-ide.pl.addDevice.title": "デバイスを追加",
"digital-ide.pl.delDevice.title": "デバイスを削除",
"digital-ide.pl.addFile.title": "ファイルを追加",
"digital-ide.pl.delFile.title": "ファイルを削除",
"digital-ide.netlist.title": "ネットリスト",
"digital-ide.fsm.title": "有限状態機械",
"digital-ide.lsp.tool.insertTextToUri.title": "テキストをURIに挿入",
"digital-ide.lsp.tool.transformOldPropertyFile.title": "以前のバージョンから新しいバージョンに設定ファイルを変換",
"digital-ide.vhdl2vlog.title": "VHDLコードをVerilogコードに翻訳",
"digital-ide.fsm.show.title": "現在のファイルのFSM図を表示",
"digital-ide.netlist.show.title": "現在のファイルのネットリストを表示",
"digital-ide.waveviewer.show.title": "dide viewer で現在の vcd をレンダリング",
"digital-ide.lsp.vlog.linter.pick.title": "Verilog の診断を選択",
"digital-ide.lsp.svlog.linter.pick.title": "System Verilog の診断を選択",
"digital-ide.lsp.vhdl.linter.pick.title": "VHDL の診断を選択",
"digital-ide.lsp.systemverilog.linter.pick.title": "SystemVerilog の診断を選択",
"digital-ide.tool.export-filelist.title": "ファイルリストをエクスポート",
"digital-ide.treeview": "Digital IDE: モジュールツリー",
"digital-ide.digital-lsp.download.title": "Digital LSP 言語サーバーをダウンロード",
"digital-ide.welcome.show.title": "Digital-IDE でウェルカムテキストを表示",
"digital-ide.dont-show-again.propose.issue.title": "Digital-IDE でウェルカムテキストを表示",
"digital-ide.lib.custom.path.title": "ユーザー定義の lib ディレクトリのパス",
"digital-ide.prj.file.structure.notice.title": "ローカルファイルが削除されたときに通知を表示するかどうか",
"digital-ide.prj.vivado.install.path.title": "Xilinx Vivado のインストールパスを設定します。例D:/APP/vivado_18_3/Vivado/2018.3/bin。デフォルトのパスは C:/Xilinx/Vivado/2018.3/bin\n環境変数 PATH に相対パスを追加した場合、この設定を無視してください",
"digital-ide.prj.modelsim.install.path.title": "Modelsim のインストールパスを設定します。デフォルトのパスは C:/modeltech64_10.4/win64\n環境変数 PATH に相対パスを追加した場合、この設定を無視してください",
"digital-ide.prj.xilinx.IP.repo.path.title": "ユーザー設計の Xilinx IP ライブラリのパス。このプロパティを設定すると、プラグインは自動的にパスを Vivado の IP ライブラリに追加します。",
"digital-ide.prj.xilinx.BD.repo.path.title": "ユーザー定義の Xilinx BD ファイルの配置パス",
"digital-ide.prj.xsdk.install.path.title": "",
"digital-ide.function.doc.webview.backgroundImage.title": "背景画像の URL",
"digital-ide.function.doc.pdf.scale.title": "エクスポートされた PDF のスケール",
"digital-ide.function.doc.pdf.printBackground.title": "背景を印刷するかどうか",
"digital-ide.function.doc.pdf.landscape.title": "PDF を横向きスタイルでエクスポートするかどうか",
"digital-ide.function.doc.pdf.format.title": "PDF のサイズフォーマット",
"digital-ide.function.doc.pdf.displayHeaderFooter.title": "エクスポートされた PDF にヘッダーとフッターを表示する",
"digital-ide.function.doc.pdf.browserPath.title": "Edge または Chrome の絶対パス、PDF をレンダリングするためにブラウザが必要です。デフォルトのパスは C:/Program Files (x86)/Microsoft/Edge/Application/msedge.exe",
"digital-ide.function.doc.pdf.margin.top.title": "エクスポートされた PDF の上余白、単位 cm",
"digital-ide.function.doc.pdf.margin.right.title": "エクスポートされた PDF の右余白、単位 cm",
"digital-ide.function.doc.pdf.margin.bottom.title": "エクスポートされた PDF の下余白、単位 cm",
"digital-ide.function.doc.pdf.margin.left.title": "エクスポートされた PDF の左余白、単位 cm",
"digital-ide.function.doc.pdf.headerTemplate.title": "ヘッダーの HTML テンプレート、displayHeaderFooter が false に設定されている場合、この設定は無視されます",
"digital-ide.function.doc.pdf.footerTemplate.title": "フッターの HTML テンプレート、displayHeaderFooter が false に設定されている場合、この設定は無視されます",
"digital-ide.prj.iverilog.install.path.title": "Icarus Verilog コンポーネントのインストールパス、空に設定されている場合、環境の iverilog と vvp がシミュレーションに使用されます。それ以外の場合、インストールパスのコンポーネントが使用されます。",
"digital-ide.function.simulate.simulationHome.title": "シミュレーションフォルダのパス、シミュレーション中の .vvp およびその他のファイルがここに生成されます",
"digital-ide.function.simulate.gtkwavePath.title": "gtkwave ソフトウェアの起動パスの絶対パス",
"digital-ide.function.simulate.xilinxLibPath.title": "シミュレーション用の Xilinx ライブラリのパス",
"digital-ide.function.simulate.runInTerminal.title": "出力ではなくターミナルでシミュレーションコマンドを実行する",
"digital-ide.function.lsp.formatter.vlog.default.style.title": "Verilog および SystemVerilog フォーマッタスタイルを選択します。",
"digital-ide.function.lsp.formatter.vlog.default.args.title": "ここに Verilog フォーマッタ引数istyleを追加します。",
"digital-ide.function.lsp.formatter.vhdl.default.keyword-case.title": "キーワードの大文字小文字",
"digital-ide.function.lsp.formatter.vhdl.default.align-comments.title": "コメントの整列",
"digital-ide.function.lsp.formatter.vhdl.default.type-name-case.title": "型名の大文字小文字",
"digital-ide.function.lsp.formatter.vhdl.default.indentation.title": "インデント",
"digital-ide.function.lsp.completion.vlog.auto-add-include.title": "モジュールの自動補完をトリガーするとき、トップの include マクロにインスタンス化されたモジュールがあるファイルが含まれていない場合、ファイルの先頭に `include \"xxx.v\" を自動的に追加します",
"digital-ide.function.lsp.completion.vlog.auto-add-output-declaration.title": "モジュールの自動補完をトリガーするとき、インスタンス化されたモジュールの上に output タイプの信号の宣言を自動的に生成します",
"digital-ide.function.lsp.linter.verilog.diagnostor.title": "Verilog 編集時のリンターを行う診断器を選択します",
"digital-ide.function.lsp.linter.systemverilog.diagnostor.title": "SystemVerilog 編集時のリンターを行う診断器を選択します",
"digital-ide.function.lsp.linter.vhdl.diagnostor.title": "VHDL 編集時のリンターを行う診断器を選択します",
"digital-ide.function.instantiation.addComment.title": "インスタンス化時に // ポート, // 入力, // 出力 のようなコメントを追加し、モジュール呼び出しの補完を含みます",
"digital-ide.function.instantiation.autoNetOutputDeclaration.title": "インスタンス化が発生したときにスコープ内で出力タイプのネットを自動的に宣言します。",
"fpga-support.onTypeFormattingTriggerCharacters.title": "onTypeFormatting のトリガー文字",
"digital-ide.function.lsp.file-parse-maxsize.title": "",
"digital-ide.structure.from-xilinx-to-standard.title": "Xilinx プロジェクトを Digital IDE 標準プロジェクト構造に変換する",
"digital-ide.prj.verible.install.path.title": "verible のインストールディレクトリパス。つまり、verible-verilog-syntax 実行ファイルを含むフォルダの絶対パス。指定しない場合、デフォルトで verible-verilog-syntax が診断に使用されます。",
"digital-ide.prj.verilator.install.path.title": "verilator のインストールディレクトリパス。つまり、verilator 実行ファイルを含むフォルダの絶対パス。指定しない場合、デフォルトで verilator が診断に使用されます。",
"digital-ide.function.lsp.linter.mode.title": "リンターの診断モードを指定",
"digital-ide.function.lsp.linter.mode.0.title": "すべての設計ソースを直接診断し、エラーを報告します。ファイルが開いているかどうかに関係なく。",
"digital-ide.function.lsp.linter.mode.1.title": "単一のファイルが閉じられた場合、対応するエラーが削除され、開いているファイルのみが診断されます。",
"digital-ide.function.lsp.linter.mode.2.title": "グローバルに無効化され、プロジェクト全体でプロジェクトエラーが報告されません。",
"digital-ide.function.lsp.linter.linter-level.title": "診断器の診断レベル設定",
"digital-ide.function.lsp.linter.linter-level.error.title": "エラーのみ表示",
"digital-ide.function.lsp.linter.linter-level.warning.title": "エラーと警告を表示",
"digital-ide.function.netlist.schema-mode.title": "Netlist 合成モードを選択",
"digital-ide.function.netlist.schema-mode.0.title": "ビヘイビア前合成",
"digital-ide.function.netlist.schema-mode.1.title": "ビヘイビア後合成",
"digital-ide.function.netlist.schema-mode.2.title": "RTL後合成",
"digital-ide.run-ys.title": "yosys スクリプトを実行",
"digital-ide.digital-lsp.install.title": "Digital LSP 言語サーバーをインストール"
}