digital-ide/package.nls.zh-tw.json

108 lines
9.9 KiB
JSON
Raw Blame History

This file contains ambiguous Unicode characters

This file contains Unicode characters that might be confused with other characters. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

{
"digital-ide.property-json.generate.title": "生成 property.json 配置文件",
"digital-ide.property-json.overwrite.title": "修改默認的 property.json 模板文件",
"digital-ide.hdlDoc.exportFile.title": "導出當前文件的文檔",
"digital-ide.hdlDoc.exportProject.title": "導出當前項目的文檔",
"digital-ide.hdlDoc.showWebview.title": "在 webview 中展示文檔",
"digital-ide.tool.instance.title": "生成選中 module 的例化模板",
"digital-ide.tool.testbench.title": "從當前文件中選擇 module 生成 testbench",
"digital-ide.tool.icarus.simulateFile.title": "對當前文模块進行仿真",
"digital-ide.treeView.arch.expand.title": "展開視圖中的所有項目",
"digital-ide.treeView.arch.collapse.title": "收起視圖中的所有項目",
"digital-ide.treeView.arch.refresh.title": "刷新樹視圖",
"digital-ide.treeView.arch.openFile.title": "在樹視圖打開相應的文件",
"digital-ide.tool.clean.title": "清理的當前項目",
"digital-ide.soft.launch.title": "啟動SDK開發輔助功能",
"digital-ide.soft.build.title": "建立項目當前的SDK",
"digital-ide.soft.download.title": "下載文件到設備引導",
"digital-ide.hard.launch.title": "啟動FPGA開發輔助功能",
"digital-ide.hard.simulate.title": "啟動生產仿真",
"digital-ide.hard.simulate.cli.title": "CLI",
"digital-ide.hard.simulate.gui.title": "GUI",
"digital-ide.hard.refresh.title": "刷新當前的項目文件",
"digital-ide.hard.build.title": "fpga構建當前項目",
"digital-ide.hard.build.synth.title": "Synth當前項目",
"digital-ide.hard.build.impl.title": "Impl當前項目",
"digital-ide.hard.build.bitstream.title": "生成一些文件",
"digital-ide.hard.program.title": "下載文件到設備",
"digital-ide.hard.gui.title": "打開界面",
"digital-ide.hard.exit.title": "退出當前項目",
"digital-ide.pickLibrary.title": "選擇庫文件",
"digital-ide.pl.setSrcTop.title": "設置為 src 的頂層文件",
"digital-ide.pl.setSimTop.title": "設置為 sim 的頂層文件",
"digital-ide.pl.addDevice.title": "添加 device",
"digital-ide.pl.delDevice.title": "刪除 device",
"digital-ide.pl.addFile.title": "添加文件",
"digital-ide.pl.delFile.title": "刪除文件",
"digital-ide.netlist.title": "netlist",
"digital-ide.fsm.title": "有限狀態機",
"digital-ide.lsp.tool.insertTextToUri.title": "插入文本uri",
"digital-ide.lsp.tool.transformOldPropertyFile.title": "轉換配置文件從先前版本新版本",
"digital-ide.vhdl2vlog.title": "vhdl代碼翻譯為verilog代碼",
"digital-ide.fsm.show.title": "顯示當前文件的FSM圖",
"digital-ide.netlist.show.title": "顯示當前文件的netlist",
"digital-ide.waveviewer.show.title": "在 dide viewer 中渲染當前的 vcd",
"digital-ide.lsp.vlog.linter.pick.title": "選擇 Verilog 的診斷",
"digital-ide.lsp.svlog.linter.pick.title": "選擇 System Verilog 的診斷",
"digital-ide.lsp.vhdl.linter.pick.title": "選擇 VHDL 的診斷",
"digital-ide.lsp.systemverilog.linter.pick.title": "選擇 SystemVerilog 的診斷",
"digital-ide.tool.export-filelist.title": "導出 filelist",
"digital-ide.treeview": "Digital IDE: 模塊樹",
"digital-ide.digital-lsp.download.title": "下載 Digital LSP 語言伺服器",
"digital-ide.welcome.show.title": "在 Digital-IDE 中顯示歡迎文字",
"digital-ide.dont-show-again.propose.issue.title": "在 Digital-IDE 中顯示歡迎文字",
"digital-ide.lib.custom.path.title": "用戶自訂 lib 目錄的路徑",
"digital-ide.prj.file.structure.notice.title": "是否在本地文件被刪除時顯示提示",
"digital-ide.prj.vivado.install.path.title": "設置 Xilinx Vivado 安裝路徑。例如D:/APP/vivado_18_3/Vivado/2018.3/bin 。預設路徑是 C:/Xilinx/Vivado/2018.3/bin\n如果將相對路徑添加到環境變數 PATH 中,請忽略此設置",
"digital-ide.prj.modelsim.install.path.title": "設置 Modelsim 安裝路徑。預設路徑是 C:/modeltech64_10.4/win64\n如果將相對路徑添加到環境變數 PATH 中,請忽略此設置",
"digital-ide.prj.xilinx.IP.repo.path.title": "用戶設計的 Xilinx IP 庫路徑。配置此屬性後,插件將自動將路徑添加到 Vivado 的 IP 庫中。",
"digital-ide.prj.xilinx.BD.repo.path.title": "用戶定義的 Xilinx BD 文件放置路徑",
"digital-ide.prj.xsdk.install.path.title": "",
"digital-ide.function.doc.webview.backgroundImage.title": "背景圖片的 URL",
"digital-ide.function.doc.pdf.scale.title": "導出的 PDF 縮放比例",
"digital-ide.function.doc.pdf.printBackground.title": "是否打印背景",
"digital-ide.function.doc.pdf.landscape.title": "是否以橫向樣式導出 PDF",
"digital-ide.function.doc.pdf.format.title": "PDF 尺寸格式",
"digital-ide.function.doc.pdf.displayHeaderFooter.title": "在導出的 PDF 中顯示頁眉和頁腳",
"digital-ide.function.doc.pdf.browserPath.title": "Edge 或 Chrome 的絕對路徑,我們需要瀏覽器來渲染 PDF。預設路徑為 C:/Program Files (x86)/Microsoft/Edge/Application/msedge.exe",
"digital-ide.function.doc.pdf.margin.top.title": "導出的 PDF 的上邊距,單位 cm",
"digital-ide.function.doc.pdf.margin.right.title": "導出的 PDF 的右邊距,單位 cm",
"digital-ide.function.doc.pdf.margin.bottom.title": "導出的 PDF 的下邊距,單位 cm",
"digital-ide.function.doc.pdf.margin.left.title": "導出的 PDF 的左邊距,單位 cm",
"digital-ide.function.doc.pdf.headerTemplate.title": "頁眉的 HTML 模板,如果 displayHeaderFooter 設置為 false則此設置將被忽略",
"digital-ide.function.doc.pdf.footerTemplate.title": "頁腳的 HTML 模板,如果 displayHeaderFooter 設置為 false則此設置將被忽略",
"digital-ide.prj.iverilog.install.path.title": "Icarus Verilog 組件的安裝路徑,如果設置為空,則使用環境中的 iverilog 和 vvp 進行仿真。否則,將使用安裝路徑中的組件。",
"digital-ide.function.simulate.simulationHome.title": "仿真文件夾路徑,仿真期間的 .vvp 和其他文件將生成在此處",
"digital-ide.function.simulate.gtkwavePath.title": "gtkwave 軟件的啟動路徑的絕對路徑",
"digital-ide.function.simulate.xilinxLibPath.title": "仿真用 Xilinx 庫的路徑",
"digital-ide.function.simulate.runInTerminal.title": "在終端中運行仿真命令,而不是在輸出中運行",
"digital-ide.function.lsp.formatter.vlog.default.style.title": "選擇 Verilog 和 SystemVerilog 格式化器樣式。",
"digital-ide.function.lsp.formatter.vlog.default.args.title": "在此處添加 Verilog 格式化器參數(如 istyle。",
"digital-ide.function.lsp.formatter.vhdl.default.keyword-case.title": "關鍵字大小寫",
"digital-ide.function.lsp.formatter.vhdl.default.align-comments.title": "對齊註釋",
"digital-ide.function.lsp.formatter.vhdl.default.type-name-case.title": "類型名稱大小寫",
"digital-ide.function.lsp.formatter.vhdl.default.indentation.title": "縮進",
"digital-ide.function.lsp.completion.vlog.auto-add-include.title": "觸發模塊的自動補全時,如果頂部 include 宏中沒有包含被例化模塊所在的文件,則自動在文件頂部添加 `include \"xxx.v\"",
"digital-ide.function.lsp.completion.vlog.auto-add-output-declaration.title": "觸發模塊的自動補全時,在例化模塊上方自動生成 output 類型信號的申明",
"digital-ide.function.lsp.linter.verilog.diagnostor.title": "選擇編輯 Verilog 時的診斷器進行語法檢查",
"digital-ide.function.lsp.linter.systemverilog.diagnostor.title": "選擇編輯 SystemVerilog 時的診斷器進行語法檢查",
"digital-ide.function.lsp.linter.vhdl.diagnostor.title": "選擇編輯 VHDL 時的診斷器進行語法檢查",
"digital-ide.function.instantiation.addComment.title": "在進行實例化時添加註釋,如 // 端口, // 輸入, // 輸出,包括模塊調用的完成",
"digital-ide.function.instantiation.autoNetOutputDeclaration.title": "在實例化發生時自動在作用域中聲明輸出類型的網絡。",
"fpga-support.onTypeFormattingTriggerCharacters.title": "onTypeFormatting 的觸發字符",
"digital-ide.function.lsp.file-parse-maxsize.title": "",
"digital-ide.structure.from-xilinx-to-standard.title": "將 Xilinx 專案轉換成 Digital IDE 標準專案結構",
"digital-ide.prj.verible.install.path.title": "verible 的安裝目錄路徑,也就是包含 verible-verilog-syntax 可執行文件的文件夾的絕對路徑。如果不指定,默認採用 verible-verilog-syntax 執行診斷。",
"digital-ide.prj.verilator.install.path.title": "verilator 的安裝目錄路徑,也就是包含了 verilator 可執行文件的文件夾的絕對路徑。不如不指定,默認採用 verilator 執行診斷。",
"digital-ide.function.lsp.linter.mode.title": "指定診斷器的診斷模式",
"digital-ide.function.lsp.linter.mode.0.title": "將所有設計源直接進行診斷,並報錯,無論文件是否打開。",
"digital-ide.function.lsp.linter.mode.1.title": "單文件關閉時,對應報錯去除,打開哪個文件就對哪個文件進行診斷。",
"digital-ide.function.lsp.linter.mode.2.title": "全局關閉,即整個工程都不進行工程報錯。",
"digital-ide.function.lsp.linter.linter-level.title": "診斷器診斷等級設置",
"digital-ide.function.lsp.linter.linter-level.error.title": "只顯示錯誤",
"digital-ide.function.lsp.linter.linter-level.warning.title": "顯示錯誤和警告",
"%digital-ide.function.netlist.schema-mode.title%": "選擇 Netlist 綜合模式",
"%digital-ide.function.netlist.schema-mode.0.title%": "行為前綜合",
"%digital-ide.function.netlist.schema-mode.1.title%": "行為後綜合",
"%digital-ide.function.netlist.schema-mode.2.title%": "RTL後綜合"
}