1277 lines
49 KiB
JSON
1277 lines
49 KiB
JSON
{
|
|
"name": "fpga-support",
|
|
"displayName": "Digital IDE",
|
|
"description": "all in one vscode plugin for Verilog/VHDL development",
|
|
"publisher": "sterben",
|
|
"homepage": "https://digital-eda.github.io/DIDE-doc-Cn",
|
|
"version": "0.4.0",
|
|
"main": "./out/extension",
|
|
"l10n": "./l10n",
|
|
"icon": "images/icon.png",
|
|
"engines": {
|
|
"vscode": "^1.94.0"
|
|
},
|
|
"keywords": [
|
|
"FPGA Develop Support",
|
|
"FPGA",
|
|
"ASIC",
|
|
"IC",
|
|
"Digital",
|
|
"HDL",
|
|
"Systemverilog",
|
|
"Verilog",
|
|
"VHDL",
|
|
"Vivado",
|
|
"Xilinx"
|
|
],
|
|
"repository": {
|
|
"type": "git",
|
|
"url": "https://github.com/Digital-EDA/Digital-IDE"
|
|
},
|
|
"categories": [
|
|
"Extension Packs",
|
|
"Programming Languages",
|
|
"Snippets"
|
|
],
|
|
"activationEvents": [
|
|
"workspaceContains:.vscode/property.json"
|
|
],
|
|
"contributes": {
|
|
"configuration": {
|
|
"title": "Digital-IDE",
|
|
"properties": {
|
|
"digital-ide.welcome.show": {
|
|
"type": "boolean",
|
|
"default": true,
|
|
"description": "%digital-ide.welcome.show.title%"
|
|
},
|
|
"digital-ide.dont-show-again.propose.issue": {
|
|
"type": "boolean",
|
|
"default": false,
|
|
"description": "%digital-ide.dont-show-again.propose.issue.title%"
|
|
},
|
|
"digital-ide.lib.custom.path": {
|
|
"type": "string",
|
|
"default": "",
|
|
"description": "%digital-ide.lib.custom.path.title%"
|
|
},
|
|
"digital-ide.prj.file.structure.notice": {
|
|
"type": "boolean",
|
|
"default": true,
|
|
"description": "%digital-ide.prj.file.structure.notice.title%"
|
|
},
|
|
"digital-ide.prj.vivado.install.path": {
|
|
"type": "string",
|
|
"default": "C:/Xilinx/Vivado/2018.3/bin",
|
|
"description": "%digital-ide.prj.vivado.install.path.title%"
|
|
},
|
|
"digital-ide.prj.modelsim.install.path": {
|
|
"type": "string",
|
|
"default": "C:/modeltech64_10.4/win64",
|
|
"description": "%digital-ide.prj.modelsim.install.path.title%"
|
|
},
|
|
"digital-ide.prj.verible.install.path": {
|
|
"type": "string",
|
|
"default": "",
|
|
"description": "%digital-ide.prj.verible.install.path.title%"
|
|
},
|
|
"digital-ide.prj.verilator.install.path": {
|
|
"type": "string",
|
|
"default": "",
|
|
"description": "%digital-ide.prj.verilator.install.path.title%"
|
|
},
|
|
"digital-ide.prj.xilinx.IP.repo.path": {
|
|
"type": "string",
|
|
"default": "",
|
|
"description": "%digital-ide.prj.xilinx.IP.repo.path.title%"
|
|
},
|
|
"digital-ide.prj.xilinx.BD.repo.path": {
|
|
"type": "string",
|
|
"default": "",
|
|
"description": "%digital-ide.prj.xilinx.BD.repo.path.title%"
|
|
},
|
|
"digital-ide.prj.xsdk.install.path": {
|
|
"type": "string",
|
|
"default": "",
|
|
"description": "%digital-ide.prj.xsdk.install.path.title%"
|
|
},
|
|
"digital-ide.function.doc.webview.backgroundImage": {
|
|
"type": "string",
|
|
"default": "",
|
|
"description": "%digital-ide.function.doc.webview.backgroundImage.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.scale": {
|
|
"type": "number",
|
|
"default": 1,
|
|
"description": "%digital-ide.function.doc.pdf.scale.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.printBackground": {
|
|
"type": "boolean",
|
|
"default": true,
|
|
"description": "%digital-ide.function.doc.pdf.printBackground.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.landscape": {
|
|
"type": "boolean",
|
|
"default": false,
|
|
"description": "%digital-ide.function.doc.pdf.landscape.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.format": {
|
|
"type": "string",
|
|
"default": "A4",
|
|
"description": "%digital-ide.function.doc.pdf.format.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.displayHeaderFooter": {
|
|
"type": "boolean",
|
|
"default": false,
|
|
"description": "%digital-ide.function.doc.pdf.displayHeaderFooter.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.browserPath": {
|
|
"type": "string",
|
|
"default": "C:/Program Files (x86)/Microsoft/Edge/Application/msedge.exe",
|
|
"description": "%digital-ide.function.doc.pdf.browserPath.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.margin.top": {
|
|
"type": "number",
|
|
"default": 0.5,
|
|
"description": "%digital-ide.function.doc.pdf.margin.top.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.margin.right": {
|
|
"type": "number",
|
|
"default": 0.5,
|
|
"description": "%digital-ide.function.doc.pdf.margin.right.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.margin.bottom": {
|
|
"type": "number",
|
|
"default": 0.5,
|
|
"description": "%digital-ide.function.doc.pdf.margin.bottom.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.margin.left": {
|
|
"type": "number",
|
|
"default": 0.5,
|
|
"description": "%digital-ide.function.doc.pdf.margin.left.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.headerTemplate": {
|
|
"type": "string",
|
|
"default": "<div style=\"font-size: 9px; margin-left: 1cm;\"> <span class='title'></span></div> <div style=\"font-size: 9px; margin-left: auto; margin-right: 1cm; \"> <span class='date'></span></div>",
|
|
"description": "%digital-ide.function.doc.pdf.headerTemplate.title%"
|
|
},
|
|
"digital-ide.function.doc.pdf.footerTemplate": {
|
|
"type": "string",
|
|
"default": "<div style=\"font-size: 9px; margin-left: 1cm;\"> <span class='title'></span></div> <div style=\"font-size: 9px; margin-left: auto; margin-right: 1cm; \"> <span class='date'></span></div>",
|
|
"description": "%digital-ide.function.doc.pdf.footerTemplate.title%"
|
|
},
|
|
"digital-ide.prj.iverilog.install.path": {
|
|
"type": "string",
|
|
"description": "%digital-ide.prj.iverilog.install.path.title%"
|
|
},
|
|
"digital-ide.function.simulate.simulationHome": {
|
|
"type": "string",
|
|
"description": "%digital-ide.function.simulate.simulationHome.title%"
|
|
},
|
|
"digital-ide.function.simulate.gtkwavePath": {
|
|
"type": "string",
|
|
"default": "gtkwave",
|
|
"description": "%digital-ide.function.simulate.gtkwavePath.title%"
|
|
},
|
|
"digital-ide.function.simulate.xilinxLibPath": {
|
|
"type": "string",
|
|
"description": "%digital-ide.function.simulate.xilinxLibPath.title%"
|
|
},
|
|
"digital-ide.function.simulate.runInTerminal": {
|
|
"type": "boolean",
|
|
"default": false,
|
|
"description": "%digital-ide.function.simulate.runInTerminal.title%"
|
|
},
|
|
"digital-ide.function.lsp.formatter.vlog.default.style": {
|
|
"type": "string",
|
|
"enum": [
|
|
"kr",
|
|
"ansi",
|
|
"gnu"
|
|
],
|
|
"default": "kr",
|
|
"description": "%digital-ide.function.lsp.formatter.vlog.default.style.title%"
|
|
},
|
|
"digital-ide.function.lsp.formatter.vlog.default.args": {
|
|
"type": "string",
|
|
"default": "",
|
|
"description": "%digital-ide.function.lsp.formatter.vlog.default.args.title%"
|
|
},
|
|
"digital-ide.function.lsp.formatter.vhdl.default.keyword-case": {
|
|
"description": "%digital-ide.function.lsp.formatter.vhdl.default.keyword-case.title%",
|
|
"type": "string",
|
|
"default": "LowerCase",
|
|
"enum": [
|
|
"LowerCase",
|
|
"UpperCase"
|
|
]
|
|
},
|
|
"digital-ide.function.lsp.formatter.vhdl.default.align-comments": {
|
|
"description": "%digital-ide.function.lsp.formatter.vhdl.default.align-comments.title%",
|
|
"type": "boolean",
|
|
"default": false
|
|
},
|
|
"digital-ide.function.lsp.formatter.vhdl.default.type-name-case": {
|
|
"description": "%digital-ide.function.lsp.formatter.vhdl.default.type-name-case.title%",
|
|
"type": "string",
|
|
"default": "LowerCase",
|
|
"enum": [
|
|
"LowerCase",
|
|
"UpperCase"
|
|
]
|
|
},
|
|
"digital-ide.function.lsp.formatter.vhdl.default.indentation": {
|
|
"description": "%digital-ide.function.lsp.formatter.vhdl.default.indentation.title%",
|
|
"type": "number",
|
|
"default": 4
|
|
},
|
|
"digital-ide.function.lsp.completion.vlog.auto-add-include": {
|
|
"description": "%digital-ide.function.lsp.completion.vlog.auto-add-include.title%",
|
|
"type": "boolean",
|
|
"default": true
|
|
},
|
|
"digital-ide.function.lsp.completion.vlog.auto-add-output-declaration": {
|
|
"description": "%digital-ide.function.lsp.completion.vlog.auto-add-output-declaration.title%",
|
|
"type": "boolean",
|
|
"default": true
|
|
},
|
|
"digital-ide.function.lsp.linter.verilog.diagnostor": {
|
|
"type": "string",
|
|
"enumDescriptions": [
|
|
"iverilog (© Icarus Verilog Project)",
|
|
"xvlog (© Xilinx, Inc.)",
|
|
"vlog (© Mentor Graphics Corporation)",
|
|
"verilator (© Verilator Project)",
|
|
"verible-verilog-syntax (© Google LLC)"
|
|
],
|
|
"enum": [
|
|
"iverilog",
|
|
"vivado",
|
|
"modelsim",
|
|
"verilator",
|
|
"verible"
|
|
],
|
|
"default": "vivado",
|
|
"description": "%digital-ide.function.lsp.linter.verilog.diagnostor.title%"
|
|
},
|
|
"digital-ide.function.lsp.linter.systemverilog.diagnostor": {
|
|
"type": "string",
|
|
"enumDescriptions": [
|
|
"xvlog (© Xilinx, Inc.)",
|
|
"vlog (© Mentor Graphics Corporation)",
|
|
"verilator (© Verilator Project)",
|
|
"verible-verilog-syntax (© Google LLC)"
|
|
],
|
|
"enum": [
|
|
"vivado",
|
|
"modelsim",
|
|
"verilator",
|
|
"verible"
|
|
],
|
|
"default": "vivado",
|
|
"description": "%digital-ide.function.lsp.linter.systemverilog.diagnostor.title%"
|
|
},
|
|
"digital-ide.function.lsp.linter.vhdl.diagnostor": {
|
|
"type": "string",
|
|
"enumDescriptions": [
|
|
"xvlog (© Xilinx, Inc.)",
|
|
"vlog (© Mentor Graphics Corporation)"
|
|
],
|
|
"enum": [
|
|
"vivado",
|
|
"modelsim"
|
|
],
|
|
"default": "vivado",
|
|
"description": "%digital-ide.function.lsp.linter.vhdl.diagnostor.title%"
|
|
},
|
|
"digital-ide.function.lsp.linter.mode": {
|
|
"type": "string",
|
|
"enumDescriptions": [
|
|
"%digital-ide.function.lsp.linter.mode.0.title%",
|
|
"%digital-ide.function.lsp.linter.mode.1.title%",
|
|
"%digital-ide.function.lsp.linter.mode.2.title%"
|
|
],
|
|
"enum": [
|
|
"full",
|
|
"common",
|
|
"shutdown"
|
|
],
|
|
"default": "full",
|
|
"description": "%digital-ide.function.lsp.linter.mode.title%"
|
|
},
|
|
"digital-ide.function.lsp.linter.linter-level": {
|
|
"type": "string",
|
|
"enumDescriptions": [
|
|
"%digital-ide.function.lsp.linter.linter-level.error.title%",
|
|
"%digital-ide.function.lsp.linter.linter-level.warning.title%"
|
|
],
|
|
"enum": [
|
|
"error",
|
|
"warning"
|
|
],
|
|
"default": "warning",
|
|
"description": "%digital-ide.function.lsp.linter.linter-level.title%"
|
|
},
|
|
"digital-ide.function.instantiation.addComment": {
|
|
"description": "%digital-ide.function.instantiation.addComment.title%",
|
|
"type": "boolean",
|
|
"default": true
|
|
},
|
|
"digital-ide.function.instantiation.autoNetOutputDeclaration": {
|
|
"description": "%digital-ide.function.instantiation.autoNetOutputDeclaration.title%",
|
|
"type": "boolean",
|
|
"default": true
|
|
},
|
|
"fpga-support.onTypeFormattingTriggerCharacters": {
|
|
"type": "array",
|
|
"default": [
|
|
"\n"
|
|
],
|
|
"description": "%fpga-support.onTypeFormattingTriggerCharacters.title%"
|
|
},
|
|
"digital-ide.function.lsp.file-parse-maxsize": {
|
|
"type": "integer",
|
|
"default": 1,
|
|
"description": "%digital-ide.function.lsp.file-parse-maxsize.title%"
|
|
},
|
|
"digital-ide.function.netlist.schema-mode": {
|
|
"type": "string",
|
|
"default": "before",
|
|
"enum": [
|
|
"before",
|
|
"after",
|
|
"RTL"
|
|
],
|
|
"enumDescriptions": [
|
|
"%digital-ide.function.netlist.schema-mode.0.title%",
|
|
"%digital-ide.function.netlist.schema-mode.1.title%",
|
|
"%digital-ide.function.netlist.schema-mode.2.title%"
|
|
],
|
|
"description": "%digital-ide.function.netlist.schema-mode.title%"
|
|
}
|
|
}
|
|
},
|
|
"commands": [
|
|
{
|
|
"command": "digital-ide.property-json.generate",
|
|
"title": "%digital-ide.property-json.generate.title%",
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.property-json.overwrite",
|
|
"title": "%digital-ide.property-json.overwrite.title%",
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.hdlDoc.exportFile",
|
|
"title": "%digital-ide.hdlDoc.exportFile.title%",
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.hdlDoc.exportProject",
|
|
"title": "%digital-ide.hdlDoc.exportProject.title%",
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.hdlDoc.showWebview",
|
|
"title": "%digital-ide.hdlDoc.showWebview.title%",
|
|
"category": "Digital-IDE",
|
|
"icon": {
|
|
"light": "images/svg/light/documentation.svg",
|
|
"dark": "images/svg/dark/documentation.svg"
|
|
}
|
|
},
|
|
{
|
|
"command": "digital-ide.tool.instance",
|
|
"title": "%digital-ide.tool.instance.title%",
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.tool.testbench",
|
|
"title": "%digital-ide.tool.testbench.title%",
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.tool.icarus.simulateFile",
|
|
"title": "%digital-ide.tool.icarus.simulateFile.title%",
|
|
"category": "Digital-IDE",
|
|
"icon": {
|
|
"light": "images/svg/light/debug.svg",
|
|
"dark": "images/svg/dark/debug.svg"
|
|
}
|
|
},
|
|
{
|
|
"command": "digital-ide.tool.export-filelist",
|
|
"title": "%digital-ide.tool.export-filelist.title%",
|
|
"category": "Digital-IDE",
|
|
"icon": {
|
|
"light": "images/svg/light/export.svg",
|
|
"dark": "images/svg/dark/export.svg"
|
|
}
|
|
},
|
|
{
|
|
"command": "digital-ide.pickLibrary",
|
|
"title": "%digital-ide.pickLibrary.title%",
|
|
"icon": {
|
|
"light": "images/svg/light/library.svg",
|
|
"dark": "images/svg/dark/library.svg"
|
|
},
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.treeView.arch.expand",
|
|
"category": "tool",
|
|
"icon": "$(expand-all)",
|
|
"title": "%digital-ide.treeView.arch.expand.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.treeView.arch.collapse",
|
|
"category": "tool",
|
|
"icon": "$(collapse-all)",
|
|
"title": "%digital-ide.treeView.arch.collapse.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.treeView.arch.refresh",
|
|
"category": "tool",
|
|
"icon": "$(refresh)",
|
|
"title": "%digital-ide.treeView.arch.refresh.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.treeView.arch.openFile",
|
|
"category": "tool",
|
|
"title": "%digital-ide.treeView.arch.openFile.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.soft.launch",
|
|
"category": "tool",
|
|
"title": "%digital-ide.soft.launch.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.soft.build",
|
|
"category": "tool",
|
|
"title": "%digital-ide.soft.build.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.soft.download",
|
|
"category": "tool",
|
|
"title": "%digital-ide.soft.download.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.launch",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.launch.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.simulate",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.simulate.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.simulate.cli",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.simulate.cli.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.simulate.gui",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.simulate.gui.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.refresh",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.refresh.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.build",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.build.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.build.synth",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.build.synth.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.build.impl",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.build.impl.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.build.bitstream",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.build.bitstream.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.program",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.program.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.gui",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.gui.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.hard.exit",
|
|
"category": "tool",
|
|
"title": "%digital-ide.hard.exit.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.pl.setSrcTop",
|
|
"category": "pl",
|
|
"title": "%digital-ide.pl.setSrcTop.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.pl.setSimTop",
|
|
"category": "pl",
|
|
"title": "%digital-ide.pl.setSimTop.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.pl.addDevice",
|
|
"category": "pl",
|
|
"title": "%digital-ide.pl.addDevice.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.pl.delDevice",
|
|
"category": "pl",
|
|
"title": "%digital-ide.pl.delDevice.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.pl.addFile",
|
|
"category": "pl",
|
|
"title": "%digital-ide.pl.addFile.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.pl.delFile",
|
|
"category": "pl",
|
|
"title": "%digital-ide.pl.delFile.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.netlist",
|
|
"icon": {
|
|
"light": "images/svg/light/netlist.svg",
|
|
"dark": "images/svg/dark/netlist.svg"
|
|
},
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.netlist.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.fsm",
|
|
"icon": {
|
|
"light": "images/svg/light/fsm.svg",
|
|
"dark": "images/svg/dark/fsm.svg"
|
|
},
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.fsm.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.lsp.tool.insertTextToUri",
|
|
"title": "%digital-ide.lsp.tool.insertTextToUri.title%",
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.lsp.tool.transformOldPropertyFile",
|
|
"title": "%digital-ide.lsp.tool.transformOldPropertyFile.title%",
|
|
"category": "Digital-IDE"
|
|
},
|
|
{
|
|
"command": "digital-ide.lsp.vlog.linter.pick",
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.lsp.vlog.linter.pick.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.lsp.svlog.linter.pick",
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.lsp.svlog.linter.pick.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.lsp.vhdl.linter.pick",
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.lsp.vhdl.linter.pick.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.lsp.systemverilog.linter.pick",
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.lsp.systemverilog.linter.pick.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.digital-lsp.download",
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.digital-lsp.download.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.vhdl2vlog",
|
|
"title": "%digital-ide.vhdl2vlog.title%",
|
|
"category": "Digital-IDE",
|
|
"icon": {
|
|
"light": "images/svg/light/translate.svg",
|
|
"dark": "images/svg/dark/translate.svg"
|
|
}
|
|
},
|
|
{
|
|
"command": "digital-ide.fsm.show",
|
|
"icon": {
|
|
"light": "images/svg/light/fsm.svg",
|
|
"dark": "images/svg/dark/fsm.svg"
|
|
},
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.fsm.show.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.netlist.show",
|
|
"icon": {
|
|
"light": "images/svg/light/netlist.svg",
|
|
"dark": "images/svg/dark/netlist.svg"
|
|
},
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.netlist.show.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.netlist.treeview",
|
|
"icon": {
|
|
"light": "images/svg/light/netlist.svg",
|
|
"dark": "images/svg/dark/netlist.svg"
|
|
},
|
|
"category": "Digital-IDE",
|
|
"title": "test"
|
|
},
|
|
{
|
|
"command": "digital-ide.waveviewer.show",
|
|
"icon": {
|
|
"light": "images/svg/light/vcd.svg",
|
|
"dark": "images/svg/dark/vcd.svg"
|
|
},
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.waveviewer.show.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.tool.clean",
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.tool.clean.title%"
|
|
},
|
|
{
|
|
"command": "digital-ide.structure.from-xilinx-to-standard",
|
|
"category": "Digital-IDE",
|
|
"title": "%digital-ide.structure.from-xilinx-to-standard.title%"
|
|
}
|
|
],
|
|
"menus": {
|
|
"view/title": [
|
|
{
|
|
"command": "digital-ide.treeView.arch.collapse",
|
|
"group": "navigation",
|
|
"when": "view == digital-ide-treeView-arch"
|
|
},
|
|
{
|
|
"command": "digital-ide.pickLibrary",
|
|
"group": "navigation",
|
|
"when": "view == digital-ide-treeView-arch"
|
|
},
|
|
{
|
|
"command": "digital-ide.treeView.arch.refresh",
|
|
"group": "navigation",
|
|
"when": "view == digital-ide-treeView-arch"
|
|
}
|
|
],
|
|
"view/item/context": [
|
|
{
|
|
"command": "digital-ide.tool.icarus.simulateFile",
|
|
"group": "inline@1",
|
|
"when": "view == digital-ide-treeView-arch && viewItem == file",
|
|
"args": {
|
|
"file": "${viewItem}"
|
|
}
|
|
},
|
|
{
|
|
"command": "digital-ide.netlist.treeview",
|
|
"group": "inline@3",
|
|
"when": "view == digital-ide-treeView-arch && viewItem == file",
|
|
"args": {
|
|
"file": "${viewItem}"
|
|
}
|
|
},
|
|
{
|
|
"command": "digital-ide.pl.setSrcTop",
|
|
"group": "navigation@1",
|
|
"when": "view == digital-ide-treeView-arch && viewItem == file"
|
|
},
|
|
{
|
|
"command": "digital-ide.pl.setSimTop",
|
|
"group": "navigation@2",
|
|
"when": "view == digital-ide-treeView-arch && viewItem == file"
|
|
},
|
|
{
|
|
"command": "digital-ide.tool.icarus.simulateFile",
|
|
"group": "navigation@3",
|
|
"when": "view == digital-ide-treeView-arch && viewItem == file",
|
|
"args": {
|
|
"file": "${viewItem}"
|
|
}
|
|
},
|
|
{
|
|
"command": "digital-ide.tool.export-filelist",
|
|
"group": "navigation@4",
|
|
"when": "view == digital-ide-treeView-arch && viewItem == file",
|
|
"args": {
|
|
"file": "${viewItem}"
|
|
}
|
|
}
|
|
],
|
|
"editor/title": [
|
|
{
|
|
"when": "editorLangId == verilog || editorLangId == systemverilog || editorLangId == vhdl",
|
|
"command": "digital-ide.tool.icarus.simulateFile",
|
|
"group": "navigation@1"
|
|
},
|
|
{
|
|
"when": "editorLangId == vcd || editorLangId == view",
|
|
"command": "digital-ide.waveviewer.show",
|
|
"group": "navigation@4"
|
|
},
|
|
{
|
|
"when": "editorLangId == verilog || editorLangId == systemverilog || editorLangId == vhdl",
|
|
"command": "digital-ide.hdlDoc.showWebview",
|
|
"group": "navigation@5"
|
|
},
|
|
{
|
|
"when": "resourceLangId == vhdl",
|
|
"command": "digital-ide.vhdl2vlog",
|
|
"group": "navigation@6"
|
|
}
|
|
],
|
|
"editor/context": [
|
|
{
|
|
"when": "editorLangId == verilog || editorLangId == systemverilog || editorLangId == vhdl",
|
|
"command": "digital-ide.pl.setSrcTop",
|
|
"group": "navigation@1"
|
|
},
|
|
{
|
|
"when": "editorLangId == verilog || editorLangId == systemverilog || editorLangId == vhdl",
|
|
"command": "digital-ide.pl.setSimTop",
|
|
"group": "navigation@2"
|
|
},
|
|
{
|
|
"when": "editorLangId == verilog || editorLangId == systemverilog || editorLangId == vhdl",
|
|
"command": "digital-ide.tool.instance",
|
|
"group": "navigation@3"
|
|
},
|
|
{
|
|
"when": "editorLangId == verilog || editorLangId == systemverilog || editorLangId == vhdl",
|
|
"command": "digital-ide.tool.testbench",
|
|
"group": "navigation@4"
|
|
},
|
|
{
|
|
"when": "editorLangId == verilog || editorLangId == systemverilog || editorLangId == vhdl",
|
|
"command": "digital-ide.tool.icarus.simulateFile",
|
|
"group": "navigation@5"
|
|
},
|
|
{
|
|
"when": "resourceLangId == vcd || resourceLangId == vcd",
|
|
"command": "digital-ide.waveviewer.show",
|
|
"group": "navigation@7"
|
|
},
|
|
{
|
|
"when": "resourceLangId == vhdl",
|
|
"command": "digital-ide.vhdl2vlog",
|
|
"group": "navigation@9"
|
|
},
|
|
{
|
|
"when": "resourceLangId == verilog || resourceLangId == systemverilog || resourceLangId == vhdl",
|
|
"command": "digital-ide.hdlDoc.exportFile",
|
|
"group": "navigation@10"
|
|
}
|
|
],
|
|
"explorer/context": [
|
|
{
|
|
"when": "resourceLangId == verilog || resourceLangId == systemverilog || resourceLangId == vhdl",
|
|
"command": "digital-ide.pl.setSrcTop",
|
|
"group": "navigation@5"
|
|
},
|
|
{
|
|
"when": "resourceLangId == verilog || resourceLangId == systemverilog || resourceLangId == vhdl",
|
|
"command": "digital-ide.pl.setSimTop",
|
|
"group": "navigation@6"
|
|
},
|
|
{
|
|
"when": "editorLangId == verilog || editorLangId == systemverilog || editorLangId == vhdl",
|
|
"command": "digital-ide.tool.testbench",
|
|
"group": "navigation@7"
|
|
},
|
|
{
|
|
"when": "resourceLangId == verilog || resourceLangId == systemverilog || resourceLangId == vhdl",
|
|
"command": "digital-ide.tool.instance",
|
|
"group": "navigation@8"
|
|
},
|
|
{
|
|
"when": "resourceLangId == vcd || resourceLangId == view",
|
|
"command": "digital-ide.waveviewer.show",
|
|
"group": "navigation@10"
|
|
},
|
|
{
|
|
"when": "resourceLangId == vhdl",
|
|
"command": "digital-ide.vhdl2vlog",
|
|
"group": "navigation@12"
|
|
},
|
|
{
|
|
"when": "resourceLangId == verilog || resourceLangId == systemverilog || resourceLangId == vhdl",
|
|
"command": "digital-ide.hdlDoc.exportFile",
|
|
"group": "navigation@13"
|
|
}
|
|
]
|
|
},
|
|
"customEditors": [
|
|
{
|
|
"viewType": "digital-ide.vcd.viewer",
|
|
"displayName": "Digital Viewer",
|
|
"selector": [
|
|
{
|
|
"filenamePattern": "*.vcd"
|
|
},
|
|
{
|
|
"filenamePattern": "*.view"
|
|
}
|
|
],
|
|
"priority": "default"
|
|
}
|
|
],
|
|
"viewsContainers": {
|
|
"activitybar": [
|
|
{
|
|
"id": "TreeView",
|
|
"title": "%digital-ide.treeview%",
|
|
"icon": "images/svg/view.svg"
|
|
}
|
|
]
|
|
},
|
|
"views": {
|
|
"TreeView": [
|
|
{
|
|
"id": "digital-ide-treeView-arch",
|
|
"name": "architecture"
|
|
},
|
|
{
|
|
"id": "digital-ide-treeView-tool",
|
|
"name": "TOOL Options"
|
|
},
|
|
{
|
|
"id": "digital-ide-treeView-hardware",
|
|
"name": "HARD Options"
|
|
}
|
|
]
|
|
},
|
|
"keybindings": [
|
|
{
|
|
"command": "digital-ide.tool.instance",
|
|
"key": "alt+i",
|
|
"mac": "alt+i",
|
|
"when": "editorTextFocus"
|
|
},
|
|
{
|
|
"command": "digital-ide.tool.testbench",
|
|
"key": "alt+t",
|
|
"mac": "alt+t",
|
|
"when": "editorTextFocus"
|
|
}
|
|
],
|
|
"languages": [
|
|
{
|
|
"id": "tcl",
|
|
"aliases": [
|
|
"TCL",
|
|
"Xilinx Constraints File",
|
|
"Synopsis Constraints File"
|
|
],
|
|
"extensions": [
|
|
".tcl",
|
|
".sdc",
|
|
".xdc",
|
|
".fdc"
|
|
],
|
|
"configuration": "./config/tcl.configuration.json",
|
|
"icon": {
|
|
"dark": "./images/svg/dark/tcl.svg",
|
|
"light": "./images/svg/light/tcl.svg"
|
|
}
|
|
},
|
|
{
|
|
"id": "bd",
|
|
"extensions": [
|
|
".bd"
|
|
],
|
|
"configuration": "./config/bd.configuration.json",
|
|
"icon": {
|
|
"dark": "./images/svg/dark/bd.svg",
|
|
"light": "./images/svg/light/bd.svg"
|
|
}
|
|
},
|
|
{
|
|
"id": "vhdl",
|
|
"aliases": [
|
|
"VHDL",
|
|
"vhdl"
|
|
],
|
|
"extensions": [
|
|
".vhd",
|
|
".vhdl",
|
|
".vho",
|
|
".vht"
|
|
],
|
|
"configuration": "./config/vhdl.configuration.json",
|
|
"icon": {
|
|
"dark": "./images/svg/dark/vhdl.svg",
|
|
"light": "./images/svg/light/vhdl.svg"
|
|
}
|
|
},
|
|
{
|
|
"id": "verilog",
|
|
"aliases": [
|
|
"Verilog",
|
|
"verilog"
|
|
],
|
|
"extensions": [
|
|
".v",
|
|
".V",
|
|
".vh",
|
|
".vl"
|
|
],
|
|
"configuration": "./config/verilog.configuration.json",
|
|
"icon": {
|
|
"dark": "./images/svg/dark/verilog.svg",
|
|
"light": "./images/svg/light/verilog.svg"
|
|
}
|
|
},
|
|
{
|
|
"id": "systemverilog",
|
|
"aliases": [
|
|
"System Verilog",
|
|
"systemverilog"
|
|
],
|
|
"extensions": [
|
|
".sv",
|
|
".svh",
|
|
".SV"
|
|
],
|
|
"configuration": "./config/systemverilog.configuration.json",
|
|
"icon": {
|
|
"dark": "./images/svg/dark/systemverilog.svg",
|
|
"light": "./images/svg/light/systemverilog.svg"
|
|
}
|
|
},
|
|
{
|
|
"id": "arm",
|
|
"aliases": [
|
|
"ARM",
|
|
"arm"
|
|
],
|
|
"extensions": [
|
|
".s",
|
|
".S",
|
|
".asm",
|
|
".sx"
|
|
],
|
|
"configuration": "./config/arm.configuration.json"
|
|
},
|
|
{
|
|
"id": "linkerscript",
|
|
"aliases": [
|
|
"LinkerScript",
|
|
"linkerscript"
|
|
],
|
|
"extensions": [
|
|
".ld",
|
|
".dld"
|
|
],
|
|
"configuration": "./config/link.configuration.json"
|
|
},
|
|
{
|
|
"id": "vvp",
|
|
"aliases": [
|
|
"VivadoVerificationPlan"
|
|
],
|
|
"extensions": [
|
|
".vvp",
|
|
".VVP",
|
|
".v.out"
|
|
],
|
|
"configuration": "./config/vvp.configuration.json",
|
|
"icon": {
|
|
"dark": "./images/svg/dark/vvp.svg",
|
|
"light": "./images/svg/light/vvp.svg"
|
|
}
|
|
},
|
|
{
|
|
"id": "vcd",
|
|
"extensions": [
|
|
".vcd"
|
|
],
|
|
"icon": {
|
|
"dark": "./images/svg/dark/vcd.svg",
|
|
"light": "./images/svg/light/vcd.svg"
|
|
}
|
|
},
|
|
{
|
|
"id": "view",
|
|
"extensions": [
|
|
".view"
|
|
],
|
|
"icon": {
|
|
"dark": "./images/svg/dark/view.svg",
|
|
"light": "./images/svg/light/view.svg"
|
|
}
|
|
},
|
|
{
|
|
"id": "dideignore",
|
|
"filenames": [
|
|
".dideignore"
|
|
],
|
|
"icon": {
|
|
"dark": "./images/icon.svg",
|
|
"light": "./images/icon.svg"
|
|
},
|
|
"configuration": "./config/ignore.configuration.json"
|
|
},
|
|
{
|
|
"id": "digital-ide-output",
|
|
"mimetypes": [
|
|
"text/x-code-output"
|
|
]
|
|
}
|
|
],
|
|
"jsonValidation": [
|
|
{
|
|
"fileMatch": "property.json",
|
|
"url": "./project/property-schema.json"
|
|
}
|
|
],
|
|
"grammars": [
|
|
{
|
|
"language": "linkerscript",
|
|
"scopeName": "source.ld",
|
|
"path": "./syntaxes/link.json"
|
|
},
|
|
{
|
|
"language": "arm",
|
|
"scopeName": "source.arm",
|
|
"path": "./syntaxes/arm.json"
|
|
},
|
|
{
|
|
"language": "tcl",
|
|
"scopeName": "source.tcl",
|
|
"path": "./syntaxes/tcl.json"
|
|
},
|
|
{
|
|
"language": "vhdl",
|
|
"scopeName": "source.vhdl",
|
|
"path": "./syntaxes/vhdl.tmLanguage.json"
|
|
},
|
|
{
|
|
"language": "verilog",
|
|
"scopeName": "source.verilog",
|
|
"path": "./syntaxes/verilog.tmLanguage.json"
|
|
},
|
|
{
|
|
"language": "systemverilog",
|
|
"scopeName": "source.systemverilog",
|
|
"path": "./syntaxes/systemverilog.json"
|
|
},
|
|
{
|
|
"language": "vvp",
|
|
"scopeName": "source.vvp",
|
|
"path": "./syntaxes/vvp.tmLanguage.json"
|
|
},
|
|
{
|
|
"language": "digital-ide-output",
|
|
"scopeName": "digital-ide.output",
|
|
"path": "./syntaxes/digital-ide-output.json"
|
|
},
|
|
{
|
|
"language": "dideignore",
|
|
"scopeName": "source.dideignore",
|
|
"path": "./syntaxes/ignore.json"
|
|
}
|
|
],
|
|
"snippets": [
|
|
{
|
|
"language": "tcl",
|
|
"path": "snippets/tcl.json"
|
|
},
|
|
{
|
|
"language": "vhdl",
|
|
"path": "snippets/vhdl.json"
|
|
},
|
|
{
|
|
"language": "verilog",
|
|
"path": "snippets/svlog.json"
|
|
},
|
|
{
|
|
"language": "systemverilog",
|
|
"path": "snippets/svlog.json"
|
|
}
|
|
],
|
|
"icons": {
|
|
"instance-verilog": {
|
|
"description": "icon of verilog in TOOL.instance",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e7a8"
|
|
}
|
|
},
|
|
"instance-systemverilog": {
|
|
"description": "icon of system-verilog in TOOL.instance",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e7a8"
|
|
}
|
|
},
|
|
"instance-vhdl": {
|
|
"description": "icon of verilog in TOOL.instance",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e6b3"
|
|
}
|
|
},
|
|
"instance-port": {
|
|
"description": "port of verilog in TOOL.instance",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e638"
|
|
}
|
|
},
|
|
"instance-param": {
|
|
"description": "param of verilog in TOOL.instance",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e655"
|
|
}
|
|
},
|
|
"instance-module": {
|
|
"description": "module of verilog in TOOL.instance",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e60b"
|
|
}
|
|
},
|
|
"instance-input": {
|
|
"description": "input",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e908"
|
|
}
|
|
},
|
|
"instance-output": {
|
|
"description": "output",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e7b0"
|
|
}
|
|
},
|
|
"instance-inout": {
|
|
"description": "inout",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\ea19"
|
|
}
|
|
},
|
|
"export-html": {
|
|
"description": "export html",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e633"
|
|
}
|
|
},
|
|
"export-markdown": {
|
|
"description": "export markdown",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\ee68"
|
|
}
|
|
},
|
|
"export-pdf": {
|
|
"description": "export pdf",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e684"
|
|
}
|
|
},
|
|
"libpick-folder": {
|
|
"description": "libpick folder",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e600"
|
|
}
|
|
},
|
|
"libpick-verilog": {
|
|
"description": "libpick verilog",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e7a8"
|
|
}
|
|
},
|
|
"libpick-vhdl": {
|
|
"description": "libpick vhdl",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e6b3"
|
|
}
|
|
},
|
|
"libpick-common": {
|
|
"description": "libpick common",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e622"
|
|
}
|
|
},
|
|
"libpick-custom": {
|
|
"description": "libpick custom",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e623"
|
|
}
|
|
},
|
|
"libpick-unknown": {
|
|
"description": "libpick unknown",
|
|
"default": {
|
|
"fontPath": "./images/icons/iconfont.woff2",
|
|
"fontCharacter": "\\e62a"
|
|
}
|
|
}
|
|
}
|
|
},
|
|
"scripts": {
|
|
"vscode:prepublish": "webpack --mode production",
|
|
"compile": "tsc -p ./",
|
|
"watch": "tsc -watch -p ./",
|
|
"pretest": "npm run compile && npm run lint",
|
|
"lint": "eslint src --ext ts",
|
|
"test": "node ./out/test/runTest.js"
|
|
},
|
|
"devDependencies": {
|
|
"@types/mocha": "^10.0.0",
|
|
"@types/node": "16.x",
|
|
"@types/showdown": "^2.0.0",
|
|
"@types/vscode": "^1.72.0",
|
|
"@typescript-eslint/eslint-plugin": "^5.42.0",
|
|
"@typescript-eslint/parser": "^5.42.0",
|
|
"@vscode/test-electron": "^2.2.0",
|
|
"eslint": "^8.26.0",
|
|
"mocha": "^10.1.0",
|
|
"typescript": "^4.8.4",
|
|
"webpack-cli": "^5.1.4"
|
|
},
|
|
"dependencies": {
|
|
"axios": "^1.7.7",
|
|
"bson": "^6.8.0",
|
|
"chokidar": "^4.0.1",
|
|
"minimatch": "^10.0.1",
|
|
"puppeteer-core": "^19.4.1",
|
|
"showdown": "^2.1.0",
|
|
"state-machine-cat": "^9.2.5",
|
|
"tar": "^7.4.3",
|
|
"temp": "^0.9.4",
|
|
"vscode-jsonrpc": "^8.2.1",
|
|
"vscode-languageclient": "^9.0.1",
|
|
"vscode-textmate": "^9.0.0",
|
|
"wavedrom": "^2.9.1",
|
|
"zlib": "^1.0.5"
|
|
}
|
|
} |